cajuskamer 4 years ago
parent
commit
08b487f272

+ 649 - 0
Vorlagen/Aufkleber/FF_neutral_freies_WLAN_aufkleber.svg

@@ -0,0 +1,649 @@
+<?xml version="1.0" encoding="utf-8"?>
+<!-- Generator: Adobe Illustrator 15.1.0, SVG Export Plug-In . SVG Version: 6.00 Build 0)  -->
+<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd" [
+	<!ENTITY ns_extend "http://ns.adobe.com/Extensibility/1.0/">
+	<!ENTITY ns_ai "http://ns.adobe.com/AdobeIllustrator/10.0/">
+	<!ENTITY ns_graphs "http://ns.adobe.com/Graphs/1.0/">
+	<!ENTITY ns_vars "http://ns.adobe.com/Variables/1.0/">
+	<!ENTITY ns_imrep "http://ns.adobe.com/ImageReplacement/1.0/">
+	<!ENTITY ns_sfw "http://ns.adobe.com/SaveForWeb/1.0/">
+	<!ENTITY ns_custom "http://ns.adobe.com/GenericCustomNamespace/1.0/">
+	<!ENTITY ns_adobe_xpath "http://ns.adobe.com/XPath/1.0/">
+]>
+<svg version="1.1" id="Ebene_1" xmlns:x="&ns_extend;" xmlns:i="&ns_ai;" xmlns:graph="&ns_graphs;"
+	 xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" x="0px" y="0px" width="419.527px"
+	 height="595.275px" viewBox="330.969 -155.906 419.527 595.275" enable-background="new 330.969 -155.906 419.527 595.275"
+	 xml:space="preserve">
+<switch>
+	<foreignObject requiredExtensions="&ns_ai;" x="0" y="0" width="1" height="1">
+		<i:pgfRef  xlink:href="#adobe_illustrator_pgf">
+		</i:pgfRef>
+	</foreignObject>
+	<g i:extraneous="self">
+		<g enable-background="new    ">
+			<path d="M386.903-124.958v42.621H375.82v-17.901h-3.317v17.901H361.42v-42.621h11.083v15.242h3.317v-15.242H386.903z"/>
+			<path d="M401.987-124.958v5.555h-10.951v-5.555H401.987z M401.987-117.271v34.934h-10.951v-34.934H401.987z"/>
+			<path d="M429.893-99.186h-13.769v7.555c0,1.58,0.114,2.598,0.342,3.054c0.229,0.457,0.667,0.685,1.316,0.685
+				c0.808,0,1.347-0.303,1.619-0.908s0.408-1.777,0.408-3.514v-4.607h10.083v2.58c0,2.159-0.137,3.817-0.408,4.976
+				c-0.272,1.158-0.908,2.396-1.909,3.712c-1,1.316-2.269,2.303-3.804,2.961c-1.536,0.658-3.462,0.987-5.778,0.987
+				c-2.247,0-4.229-0.325-5.949-0.974c-1.721-0.649-3.059-1.54-4.015-2.672c-0.957-1.132-1.619-2.378-1.987-3.738
+				c-0.369-1.36-0.554-3.339-0.554-5.937v-10.188c0-3.054,0.412-5.462,1.237-7.227c0.825-1.764,2.177-3.115,4.055-4.054
+				s4.036-1.409,6.476-1.409c2.983,0,5.445,0.566,7.385,1.698c1.938,1.132,3.299,2.632,4.08,4.501
+				c0.78,1.869,1.172,4.498,1.172,7.884V-99.186z M419.23-104.872v-2.554c0-1.808-0.097-2.975-0.29-3.501
+				c-0.193-0.526-0.588-0.79-1.185-0.79c-0.736,0-1.193,0.224-1.368,0.671c-0.176,0.447-0.264,1.654-0.264,3.62v2.554H419.23z"/>
+			<path d="M444.003-117.271l-0.422,4.594c1.544-3.29,3.782-5.032,6.713-5.226v12.294c-1.948,0-3.379,0.263-4.291,0.79
+				c-0.912,0.527-1.474,1.26-1.685,2.198c-0.211,0.939-0.315,3.103-0.315,6.489v13.794h-10.636v-34.934H444.003z"/>
+			<path d="M475.725-124.958v5.397c-2.194,0-3.488,0.101-3.883,0.303c-0.396,0.202-0.593,0.776-0.593,1.724v1.105h4.476v5.528
+				h-2.527v28.563h-10.636V-110.9h-2.185v-5.528h2.185c0-2.299,0.079-3.834,0.237-4.607c0.158-0.772,0.548-1.461,1.171-2.066
+				s1.496-1.066,2.62-1.382c1.123-0.316,2.869-0.474,5.238-0.474H475.725z"/>
+			<path d="M488.387-117.271l-0.422,4.594c1.544-3.29,3.782-5.032,6.713-5.226v12.294c-1.948,0-3.379,0.263-4.291,0.79
+				c-0.912,0.527-1.474,1.26-1.685,2.198c-0.211,0.939-0.315,3.103-0.315,6.489v13.794h-10.636v-34.934H488.387z"/>
+			<path d="M521.136-99.186h-13.769v7.555c0,1.58,0.114,2.598,0.342,3.054c0.229,0.457,0.667,0.685,1.316,0.685
+				c0.808,0,1.347-0.303,1.619-0.908s0.408-1.777,0.408-3.514v-4.607h10.083v2.58c0,2.159-0.137,3.817-0.408,4.976
+				c-0.272,1.158-0.908,2.396-1.909,3.712c-1,1.316-2.269,2.303-3.804,2.961c-1.536,0.658-3.462,0.987-5.778,0.987
+				c-2.247,0-4.229-0.325-5.949-0.974c-1.721-0.649-3.059-1.54-4.015-2.672c-0.957-1.132-1.619-2.378-1.987-3.738
+				c-0.369-1.36-0.554-3.339-0.554-5.937v-10.188c0-3.054,0.412-5.462,1.237-7.227c0.825-1.764,2.177-3.115,4.055-4.054
+				s4.036-1.409,6.476-1.409c2.983,0,5.445,0.566,7.385,1.698c1.938,1.132,3.299,2.632,4.08,4.501
+				c0.78,1.869,1.172,4.498,1.172,7.884V-99.186z M510.474-104.872v-2.554c0-1.808-0.097-2.975-0.29-3.501
+				c-0.193-0.526-0.588-0.79-1.185-0.79c-0.736,0-1.193,0.224-1.368,0.671c-0.176,0.447-0.264,1.654-0.264,3.62v2.554H510.474z"/>
+			<path d="M535.562-124.958v5.555H524.61v-5.555H535.562z M535.562-117.271v34.934H524.61v-34.934H535.562z"/>
+			<path d="M563.467-99.186h-13.769v7.555c0,1.58,0.114,2.598,0.342,3.054c0.229,0.457,0.667,0.685,1.316,0.685
+				c0.808,0,1.347-0.303,1.619-0.908s0.408-1.777,0.408-3.514v-4.607h10.083v2.58c0,2.159-0.137,3.817-0.408,4.976
+				c-0.272,1.158-0.908,2.396-1.909,3.712c-1,1.316-2.269,2.303-3.804,2.961c-1.536,0.658-3.462,0.987-5.778,0.987
+				c-2.247,0-4.229-0.325-5.949-0.974c-1.721-0.649-3.059-1.54-4.015-2.672c-0.957-1.132-1.619-2.378-1.987-3.738
+				c-0.369-1.36-0.554-3.339-0.554-5.937v-10.188c0-3.054,0.412-5.462,1.237-7.227c0.825-1.764,2.177-3.115,4.055-4.054
+				s4.036-1.409,6.476-1.409c2.983,0,5.445,0.566,7.385,1.698c1.938,1.132,3.299,2.632,4.08,4.501
+				c0.78,1.869,1.172,4.498,1.172,7.884V-99.186z M552.805-104.872v-2.554c0-1.808-0.097-2.975-0.29-3.501
+				c-0.193-0.526-0.588-0.79-1.185-0.79c-0.736,0-1.193,0.224-1.368,0.671c-0.176,0.447-0.264,1.654-0.264,3.62v2.554H552.805z"/>
+			<path d="M588.659-106.109h-9.424v-1.896c0-1.615-0.093-2.637-0.276-3.067c-0.185-0.43-0.646-0.645-1.382-0.645
+				c-0.598,0-1.045,0.197-1.343,0.592c-0.299,0.395-0.448,0.987-0.448,1.777c0,1.071,0.075,1.856,0.225,2.356
+				c0.148,0.5,0.596,1.049,1.342,1.645c0.746,0.597,2.277,1.466,4.594,2.606c3.089,1.509,5.116,2.931,6.081,4.265
+				c0.966,1.334,1.448,3.273,1.448,5.818c0,2.843-0.368,4.988-1.105,6.437c-0.737,1.448-1.971,2.562-3.699,3.343
+				s-3.812,1.171-6.252,1.171c-2.703,0-5.015-0.421-6.937-1.264s-3.242-1.983-3.962-3.422c-0.72-1.438-1.079-3.615-1.079-6.528
+				v-1.685h9.424v2.211c0,1.878,0.119,3.098,0.355,3.659c0.237,0.562,0.706,0.842,1.408,0.842c0.755,0,1.281-0.188,1.58-0.566
+				c0.298-0.377,0.447-1.171,0.447-2.382c0-1.667-0.193-2.711-0.579-3.133c-0.404-0.421-2.466-1.667-6.187-3.738
+				c-3.124-1.754-5.028-3.347-5.712-4.778c-0.685-1.43-1.027-3.128-1.027-5.094c0-2.79,0.369-4.848,1.105-6.173
+				c0.737-1.325,1.988-2.347,3.752-3.067s3.812-1.08,6.146-1.08c2.316,0,4.287,0.294,5.91,0.882c1.623,0.588,2.869,1.365,3.738,2.33
+				s1.396,1.86,1.579,2.685c0.185,0.825,0.276,2.115,0.276,3.87V-106.109z"/>
+			<path d="M643.863-124.958l-4.935,42.621h-13.837c-1.268-6.548-2.385-13.998-3.354-22.35c-0.442,3.581-1.473,11.031-3.091,22.35
+				h-13.759l-4.961-42.621h10.767l1.172,14.871l1.132,14.376c0.409-7.44,1.431-17.189,3.064-29.248h11.509
+				c0.153,1.247,0.556,5.932,1.209,14.058l1.224,16.216c0.631-10.317,1.662-20.408,3.094-30.274H643.863z"/>
+			<path d="M657.131-124.958v34.091h6.739v8.529h-17.822v-42.621H657.131z"/>
+			<path d="M687.056-124.958l6.338,42.621h-11.326l-0.595-7.661h-3.965l-0.666,7.661h-11.458l5.653-42.621H687.056z M681.183-97.553
+				c-0.562-4.828-1.124-10.794-1.688-17.901c-1.129,8.161-1.838,14.128-2.127,17.901H681.183z"/>
+			<path d="M720.021-124.958v42.621h-9.714l-5.766-19.375v19.375h-9.267v-42.621h9.267l6.213,19.191v-19.191H720.021z"/>
+		</g>
+		<g enable-background="new    ">
+			<path d="M364.744,279.824h37.4v16.995h-15.316v16.104h13.637v16.155h-13.637v35.669h-22.084V279.824z"/>
+			<path d="M428.057,295.14l-0.84,9.153c3.078-6.556,7.535-10.026,13.377-10.412v24.496c-3.883,0-6.732,0.524-8.551,1.573
+				s-2.938,2.51-3.357,4.38c-0.42,1.871-0.629,6.182-0.629,12.93v27.486h-21.191V295.14H428.057z"/>
+			<path d="M493.311,331.176h-27.434v15.054c0,3.147,0.227,5.176,0.682,6.085c0.453,0.91,1.328,1.364,2.623,1.364
+				c1.607,0,2.682-0.604,3.225-1.811c0.543-1.206,0.814-3.54,0.814-7.002v-9.18h20.09v5.141c0,4.301-0.271,7.605-0.814,9.914
+				c-0.541,2.308-1.809,4.773-3.803,7.396c-1.992,2.623-4.52,4.59-7.58,5.901c-3.059,1.312-6.896,1.967-11.514,1.967
+				c-4.477,0-8.428-0.647-11.854-1.94c-3.428-1.294-6.094-3.068-8-5.324s-3.225-4.738-3.959-7.448s-1.102-6.653-1.102-11.829v-20.3
+				c0-6.084,0.82-10.884,2.465-14.398c1.643-3.515,4.336-6.207,8.078-8.078c3.74-1.87,8.043-2.806,12.902-2.806
+				c5.945,0,10.85,1.128,14.715,3.383c3.863,2.256,6.574,5.246,8.129,8.97c1.557,3.725,2.336,8.962,2.336,15.71V331.176z
+				 M472.066,319.846v-5.088c0-3.602-0.193-5.928-0.578-6.977c-0.385-1.05-1.172-1.574-2.359-1.574
+				c-1.469,0-2.379,0.446-2.729,1.338s-0.523,3.297-0.523,7.213v5.088H472.066z"/>
+			<path d="M522.055,279.824v11.067h-21.822v-11.067H522.055z M522.055,295.14v69.606h-21.822V295.14H522.055z"/>
+			<path d="M556.674,279.824v10.753c-4.371,0-6.949,0.201-7.736,0.604s-1.182,1.547-1.182,3.436v2.203h8.918v11.015h-5.035v56.913
+				h-21.191v-56.913h-4.354v-11.015h4.354c0-4.581,0.156-7.641,0.471-9.18c0.316-1.538,1.094-2.911,2.336-4.118
+				c1.24-1.206,2.98-2.124,5.219-2.753c2.236-0.63,5.717-0.944,10.438-0.944H556.674z"/>
+			<path d="M609.232,295.14v69.606h-21.559l0.367-5.783c-1.469,2.348-3.277,4.108-5.428,5.281c-2.152,1.174-4.625,1.761-7.424,1.761
+				c-3.182,0-5.822-0.56-7.92-1.679c-2.098-1.118-3.646-2.604-4.643-4.458s-1.617-3.785-1.861-5.797
+				c-0.246-2.01-0.367-6.006-0.367-11.985V295.14h21.191v47.366c0,5.421,0.166,8.638,0.498,9.651
+				c0.332,1.015,1.232,1.521,2.701,1.521c1.574,0,2.51-0.525,2.807-1.574s0.445-4.423,0.445-10.123V295.14H609.232z"/>
+			<path d="M638.396,295.14l-0.367,6.412c1.539-2.557,3.418-4.475,5.641-5.753c2.219-1.279,4.781-1.918,7.684-1.918
+				c3.637,0,6.609,0.857,8.918,2.57c2.307,1.714,3.793,3.873,4.457,6.478c0.664,2.605,0.998,6.95,0.998,13.035v48.782h-21.191
+				v-48.205c0-4.791-0.158-7.711-0.473-8.76c-0.314-1.05-1.189-1.574-2.623-1.574c-1.504,0-2.447,0.604-2.832,1.81
+				c-0.385,1.207-0.578,4.433-0.578,9.678v47.052h-21.191V295.14H638.396z"/>
+			<path d="M718.652,295.14l-8.602,27.722l11.172,41.885h-20.379l-6.609-30.337l-0.025,30.337h-21.191v-84.923h21.191l0.025,35.649
+				l6.609-20.333H718.652z"/>
+		</g>
+		<rect x="345.953" y="-47.787" fill="none" width="389.559" height="307.034"/>
+		<rect x="345.953" y="-47.787" fill="none" width="389.559" height="307.034"/>
+		<rect x="345.953" y="-47.787" fill="none" width="389.559" height="307.034"/>
+		<path fill="#E50064" d="M523.636-64.161c71.23-5.129,144.114,21.52,194.947,71.712c6.66,6.858,5.792,18.854-1.231,25.137
+			c-6.461,7.609-19.379,7.743-26.039,0.332C647.816-8.848,586.42-31.489,526.123-26.984c-50.082,3.225-98.605,24.795-134.947,59.353
+			c-3.23,3.303-7.365,6.013-12.115,6.248c-11.906,1.396-22.918-11.051-20.348-22.691c0.723-4.184,3.406-7.664,6.481-10.449
+			C407.746-35.267,464.87-60.287,523.636-64.161"/>
+		<rect x="345.953" y="-47.787" fill="none" width="389.559" height="307.034"/>
+		<path fill="#E50064" d="M521.847-2.309c54.57-5.938,111.235,14.18,149.85,53.172c6.036,6.894,5.283,18.164-1.42,24.359
+			c-6.114,7.484-18.432,8.319-25.365,1.536c-24.729-24.376-58.154-39.849-92.82-42.387c-42.088-3.489-85.094,12.479-115.062,42.139
+			c-6.912,7.304-19.788,6.473-26.011-1.296c-6.701-6.329-7.26-17.905-0.819-24.61C439.901,20.884,480.067,1.977,521.847-2.309"/>
+		<rect x="345.953" y="-47.787" fill="none" width="389.559" height="307.034"/>
+		<path fill="#E50064" d="M529.958,58.608c34.437-3.42,70.043,9.77,93.999,34.708c6.567,6.827,5.807,18.583-1.107,24.904
+			c-6.271,7.573-18.854,8.087-25.677,0.983c-13.51-13.867-32.219-22.629-51.585-23.683c-21.985-1.568-44.234,7.116-59.848,22.567
+			c-3.839,4.315-9.541,6.96-15.374,6.281c-13.561-0.955-22.968-19.047-13.629-29.901C475.588,74.019,502.373,61.352,529.958,58.608"
+			/>
+		<rect x="345.953" y="-47.787" fill="none" width="389.559" height="307.034"/>
+		<rect x="345.953" y="-47.787" fill="none" width="389.559" height="307.034"/>
+		<path fill="none" stroke="#000000" stroke-width="7" stroke-miterlimit="10" d="M736.323,413.859
+			c0,6.262-5.077,11.338-11.339,11.338H356.48c-6.263,0-11.339-5.076-11.339-11.338v-544.253c0-6.262,5.076-11.338,11.339-11.338
+			h368.504c6.262,0,11.339,5.076,11.339,11.338V413.859z"/>
+		<g>
+			<path fill="#E50064" d="M473.123,191.145c0.008-37.833,30.66-68.486,68.5-68.494c37.827,0.008,68.479,30.661,68.487,68.494
+				c-0.008,37.831-30.66,68.495-68.487,68.495C503.783,259.64,473.131,228.976,473.123,191.145 M485.953,191.145
+				c0.062,30.737,24.921,55.61,55.67,55.659c30.738-0.049,55.594-24.922,55.657-55.659c-0.063-30.734-24.919-55.603-55.657-55.67
+				C510.874,135.542,486.015,160.411,485.953,191.145"/>
+			<path fill="#E50064" d="M492.893,191.149c0-26.912,21.81-48.729,48.72-48.729c26.913,0,48.716,21.816,48.723,48.729
+				c-0.007,26.905-21.81,48.719-48.723,48.719C514.702,239.868,492.893,218.054,492.893,191.149 M495.461,191.149
+				c0.041,25.489,20.663,46.105,46.151,46.148c25.488-0.043,46.105-20.659,46.156-46.148c-0.051-25.488-20.668-46.117-46.156-46.165
+				C516.124,145.032,495.502,165.661,495.461,191.149"/>
+			<path fill="#E50064" d="M416.96,213.856c0-25.363,20.554-45.917,45.907-45.917c25.367,0,45.91,20.554,45.916,45.917
+				c-0.006,25.359-20.549,45.908-45.916,45.908C437.514,259.764,416.96,239.214,416.96,213.856 M419.527,213.856
+				c0.038,23.943,19.406,43.303,43.34,43.353c23.943-0.05,43.309-19.409,43.35-43.353c-0.041-23.943-19.406-43.303-43.35-43.346
+				C438.934,170.553,419.565,189.913,419.527,213.856"/>
+			<polygon fill="#FFCC00" points="520.095,204.071 537.223,184.5 555.313,184.5 542.794,197.679 568.094,197.679 568.094,210.455 
+				544.021,210.455 556.13,222.159 537.629,222.159 			"/>
+			<polygon fill="#FFCC00" points="453.124,214.586 442.066,214.586 449.306,207.59 434.912,207.59 434.912,199.95 450.037,199.95 
+				442.554,192.065 453.373,192.065 463.609,203.773 			"/>
+		</g>
+	</g>
+</switch>
+<i:pgf  id="adobe_illustrator_pgf">
+	<![CDATA[
+	eJzcvdd68srSIPzfAPcAxpgMEjkYTJAIBhsMGOOIBYhgogWssA/mauYa5mjOvhub7lYWSoT1zZp/
+72f5BdTq6q6urtRVXQ5bs+3Pj9YD2h8OYFaLw1FkaGq3ZlJW9Ku1uljstzsG/uRqua14NICBRvlq
+os817NLMdrZepeAjHD0swbddI2rltrrc4HtntlvQ4JdSqb+i96CvRX/M0DN623+p5x/71H48X9AD
+mgnQm62bHwHokqB24DUsEcBCATxqDWEpPAQfU6s/qO129h/wEI+FE2HwW2G9X41mq0lh/VfKGknG
+rXg4bE0kY9Y4lgCPK7MWvVW2CUSwZAg2DETD0RBoHQ1Ek1gCvAIexSIR8B6xHu6X9GrXZNZDerst
+rhdrZpuyPlAT8CNlfaUXi/Wf1sKCGs4lrUvr1Q60qi431HAn+f2Rpkf0SPE0X432S7MFDZC2pHZW
+HKEwX8VD/cJ+thg97pcAMylrOAl/DffREJ63YAApK/oMf473q0vwS5ve7cD8QOdwFYoPrzXpuAGy
+uP+73psAfzSAx8zp1aeb65lZb+Av4PVIIhaIh2NhKx4KBRKxUNiaxGKBUCwct8bxRAAPx+PsOx16
+uVmAVUIoDQGURq2REAb+Sj5zLcEUUatwEj2PgD84BhY2ak3gMb6ViG/6jxn9Z8r6uF7RLI7yzK7N
+LnkkgmHsX/ZJa7+gmefVDGIVhz8lWSw9rEf0AgxLeL+0oBBy0P9x8S/boEMxE3oH6GS92O8QQSd4
+CGAR6tTfNCMCaGzoVWfdRWP0h+KgIywSssKZJWO4NR5KWPEE6jwCkAjQhkmg4uxftmvYEeyG7x8g
+1tEEa9lgZpPZKsWNLt4vM7ORuL5xQK7sHzSBQELyX5L/jx0pmPRuR6+4kQOyKj5I6AQLPLQBRHI1
+Kq6XEPNbuJloABqQzmI9YZ8Jn9ET8Pp+Y3m3hJPB3/16R29BXwsaTDw4Yag/aEA0iWB+NKMZ8GQb
+zDPgcbA4pEezxYIKktRwv6ODjztA23SwwTezBJ+FNyi2CYV6C1LDGQOIYryg/wpSYhv2fQp1PuQ7
+p9GbliDNvkpLXqWFV2ds9zO2zUzSZia0WaHuLcE123bNtl1L2q6Ftmt2KHu26Z5tuhebWoJ7oe2I
+mkxoJjgCA6Tp4BDgO7jd0cwCzmJLDyHZBQf7xYLeBTcUAzGwmQYncKeuRoMFwBIDFgC+QY+Cw/Xm
+b0Ak010QcNQRDbdukB2DAC6wWu9G9DiYJ4ON7YLaTi3CTxvA1Zez1V5sxP/7N70KLvfKny0H7fh/
+18xoTIOuZisafl5S2+F+Ab/wDSjwOwf9d09v4SRH6z9XQfqv4YJaoo+AumZDagFeEN4agx08Wx0O
+YwK44oJeroFIGe/EbywmAD+ebeDMt4C50sE8uxh5jti4f8jgGtLIagSGFKSX6B9EyADFqFP+C9sn
++ib+zv44mv0xgwQiIE3A+avwacxQ7IqSe2aNRop2ijBu9A11ZwmOZ2DCHHkAyMENgLMeQQJBay3u
+swG1pYUBoi+g6W663m8BiViCeQmJkpLPeZYySGFwJIuaKvt7VUquVaFRlW3UYBs1JP3x826wLZ7Z
+Fs/Sbp7ZRyO4UkBaByVvswuxpIYMpHfA61Ezaog2BLul2R1tCU73qwnF7JcLar8D+xCIg3lwSIH3
+LB0ScdDofb+zBUJDlBXhPmJT5Gq4huI+Ze2zspYXue9B7ocg9zvLmjtv7MuA3/GvWjvMnu78vaEt
+73gM57atFY+Hg2D69O+eWoAvseBsNQbkv/tbsqnglFEDwBOBMgPwCb9Y8AQO9xbY27sZtRjNxuMg
+GPsSaTvBDbMe7cGoNjPQ4w7yMNB9IhlsLOkJZbXgyWgQbHS4T6x4Mh6kNuCFv7hRJBNBgl4AlSSE
+RzjiBJvqP/RqQgNZi8HGC0Cu/fbfy8F60Q+y/8pmHmXR1gdobII1gBLC8rixIFWvudiDR2Vmvd9U
+V+O1xcXqhh1A4RBNjcEPYF5A23ukhtP/0LPhdEVD5Y973t7PdnSAmm3cur0BScXQVvYheBl95f81
+fpugx0CDEl9nf618hMJxsOVW/jewQ3b/WQIUL8BTcvUHvVhvaCvYNdYXitkYA2guqBXFWNHvfP/S
+Xq1VuFmp+W72h3VJLRAC6jMgD5sUwKaJ/oH830AZ8AePBDksvQaSRyYAUbsp0FkBA9wKfeehCAfr
+BnbbAa7gJNjmxn23h1DbYKwFZr+dWjvr9UIA0d7Q/wFEv5mttvRCAYJ7Db0FX/oXAWqiF1aNFYu2
+Q3APeyiQVaEBnfNfCQm01oZSAMz5/xEYRQrIe6QkzYZqYGrc8/GMVoXGvn8M1SG2OdsuRWKT/NKE
+bH24oNt/g3Va6vUG8QJkxggQMGKOQndwfFAPn9BbevefHWCKgKmhrnfTEnqhLfQbhaJKyq79fn0+
+fvQLSfYJNL12fwORZgnWVkBdQ1+sKYvrvb0D/JNiRp9ua/CRWtJWnyXYngGbkObbYNaGhTd+5uBT
+ywKslHgU2CfxBB6C/wJLOxrGocESwuGTmoU3zjBr72/w5R58+AE//QmMLOuD9f0Ts47Az72WBVpS
+PaD1IA5rTVusQAiOwb9o6GCu4sANZ98EHBtsPzTW5sCiZSrDMW0tBwZ0c2hpFgQgfF/H4LYO1HoZ
+Qg8mgVqcsoo4xj5iSRV28/9xP4OOFD9qdM4NljfPCWpHWRz9IP8drDP8NkPqLsX8jb678Gg0EI/F
+o/GENRoLBUJhPBmOAEJp76CKB3hxt4ycDoX1X71XNyAd8E4oEQ5EYuFELK7esEJDfZltHOw91B+B
+jc9Cs4+4wUhe/GsJTIoR7Yd/VoA82ffUn/9BLfZcg6Q1CGS5/PEOaIEc2DzDUOwU5SNY0jtqBDBz
+/gDw0wbwBzCHqcEC+oG2/4ZB/F8fw38nFtJim+EUiDcGKoA+lX0haQgEPjMbAMtpyzZNq9D1Hykg
+EQBDhXvuv3lhTU9JPmL4jvEwXTerbX8INJr1Mq3RWDLm0H/vMvBzOFwO9CD1h7npATrc/lsnB+fg
+M0OOJ1LBYj2c0yNjPK2A1f7vQxE/epX9SDFgGz6iCRjNbTBDBw74v29+0ln8k1RwBC/4N28Wk0iS
+z307/vP/mgTcLmbD/1+IPzSR9nrPDGl0fvevnJOC5g2HKBw+Bls0tfj3kLoav/vbcDb+eDyO/8um
+8rfaVKac8WAwH3Sm/C+bz1TT7vlzNtpNDecUTiYCeAKP/cumxQ3+cFaD9Q4ohnV6vGMPQo0XzRos
+rNf/npkdTuBfImaBVPp/XMpeTtRcaPAS1wP8BeNwl6/iuFVwkaBTc2udWk321IS2Ntcb6LmXkS56
+ocnQW5r5g7Z26L92VnI021GD2WK2+1vGnDgAfO9sSAyMjzjUC5RumTfo56dX48V//U/wrxW31tab
+GVRmn1ezIZghTxmiciiEg/DBHywXaa5nq12LXnTWLZbGofB1NdfbGYSHnuKcQ4WPHhHiScx2EBJQ
+xPKtZr6lQFp1m2d2gzXFjAh6TO0XEqWWXwhitt0sqL8fKGbOPkiEExiYUTRijSeSYeWI0ChQUIl8
+63KrzYNDKJYDgk6qPENTeXQSIzxjh15Y0PQIMoSuuM0wcemT1g21oRnrdrbcL9CBoHwnwhY7hlpt
+NxQg0+Hf1gkzG1m3wpJHQ5FQQtKW4oZpHcJYGGvIOjhQgA6bqVO/MEpqMeOoPs4Pa7SZBTgRIwiX
+DTXiTKco1wp0zihmvKS2c8VSbjfrnbCp8lVrfr9bW1sUPPQQphmLRsNR7WniVoY32wwRAg9zVwfr
+ALYgv52t8xUwA9f7HWi65nerYbfCAAyHKq6IYVPjsc62axiJBTqlkdHJ4TYq0B/s94HeTgWMIiKz
+igFP3DJisjca+90GIED/Hdf7w2y3AxuGBmsGGMv/2u5Xk89DlqLGEbn4JED5iPPtrOL+5bzBkURE
+w238IqoPLiyAof9Z+Q+KV2CQlcTTLN+WLahdSfZlNJFM8LtEcrYKg7D6cAsXpDtY0k9nvZH0EhKR
+r+yksgbIXK+k7mxIAzogWzxZhTQadQTxHBdJCcesYwHBDFpE/x/gJUBUA2pBrYaHjEj2CiB+GMtj
+3QFhdCit1Fpud8x6zgtHrcbDxWwDaBv6Nf+ywpimNS/98agWyor0YlEEJiA3DhcbbipZ5Xm+Wtov
+Fjx9cXGp4Kn+WDa8wF3/QTMbeOzBDSUcisfiGsguLdZrRliSA+VMph+16UWF2oFhoQCBKrGVSA6N
+lvX1kFrAjSBtK23Qge5tCNXkUEWCDWvSJESwqEKEYiE8okOPZRlHkuyBAtJ85UJOHSAaWWM83tIC
+beFKOax8pw0Qw6sl0URca4Soa8kQtXciO4gNNRRULO22SJ2XNU3otiX/2glaoU5TxH2kbTGNWcEg
+V/0WECoMVKG7s+2M1RvbO2pH67+FcHD8axIdqboa0X+16eF6NTrundKM2XJzSuBJHIYDRyLwHyM6
+2FGCkshxvTiOXmd7MXid1cNWs+0UsA5JJxFN9oMWqUQNaRQqY9Qa9q9ofNT0hHVkd5NkhJqIhePT
+XkMOejx5FHQgz6TI0SZhKF0BJoGmvROFSjwZRTMOwwBv0zAhGzKzGdCERb6WiMXNLz8n7w/X/6hF
+ElF+sEp6DBm9JmOf/iivdOkAo6FV8AeN9qrO0M2tsDh0+RJLFVHVdwSZl4iEzS+uCE65utrMFr0j
+47Zp2HS4Zkb06FDsWoOP653sMa/AAe0P5lxAmSqqrJKH5HIANECkGymUIfCQz3A4fNKjNoc/oige
+JLhhALJad39xYVG8iqumJbepP+gHYMrOgH7Em5vbFrWaHGprqk3zQJDTC4BLWsGNwQiIDsGRqPgb
+0LyHs+2ByckhTlQsT/ZsQBiT8QHmYe/t/WDL5iOo6FLaixoU/TDB8WoXGC2WAIUcEfMkJW20mSzn
+AZTfsh6PA6x7znRzRqKmG7ferTcKRVza9q9NQKZ646odbgNQQ13R260c9YpGAHXydhLzTdl0So1g
+XLdufwscauXUTrcRkPI7dGqr22YJFWuRqDTaregJJXOWqDUazCA5yMlWOXD6D3qh3QkkkS2gNJ2J
+sVS0YcZrwQJQazZcBniLYb2b0oxbkywlLYfLv+fyvaVoCAO+BSbsKq4BxxlZS41yKx9OWj9c1XbD
+iodikbg/lAphWOTDfcg2DkCvx7MFrTs8ccuIVpS0CTNiwEqiGA1uZPpgUXtqtVrvdEgbNeLNLz3y
+ZhvuV0ODJvQKGkOj41wRih25OnAaKhqsN6O9QYOtUQ/DtfZqgAbQKNZvsF4BE3XHWti6sLiWEqs9
+qtFywqj5f9RaMsqWBsSw3S042tlsRtrzgs1GrOdWaGeiZ9AWUu9Ccrph4iVo3dOM7NRId0Bse9OM
+H6b2KGzeg8YDaCMrRZCJ5hIRZKK1IIJMtJWN+pC3wrbIDTmgGD3hAdux6WmMRM/SbA==
+	]]>
+	<![CDATA[
+	ugG6wmw1XhtBZiQzUW+CJsK1CYSimhMGiwo9VJIzR7Uuf9YDIG92S2pzJL0jGODtHcx2Nv8Gu0VE
+Vq05zS0MAjZoI2OFqks/ZEYB6OhaUJvAHybb6WALNtvNFoL2pt0XM+H7UVOKYJMNd/CjDw2s4Wa6
+Zv7DaVghrXZrZgZztA06XDABwe03gGnc+m15DrxZ66oIoKUoWg2IANKbvvSBLdAAqS1LmNq8S2gq
+kf1qGofQbsDF1OjBHtHb2WRlhMnNhgmg8yleDktzA/RUlfViwWa+PuqyZbim2+FwpafCsW02iyF/
+WKquDLPNqAXN7wDDdlMT1K27myB2pmuJq1O72Z/mmkkjQnQ6k5yQEHtmOLV2GHo2oBkr4Iaz1QwQ
+swGPAp2I5BkXY5aUjWbwBgK5XYUbNDYUaUJLA3EmtBNFmc5IpZjTGaMEdWr0CJtwaoIOUwaNpPqz
+akcyYbzf0sCwRo50McCACwwA+9Wa51+0it5/A5PZBAPizxsZ0cegy16oxYJ/xYB5wO0tuE/UrQzU
+K1g9RubgUO0NpgozWxrOTcfwgi2389kGMPTV3Nxs1FB9vx7AbC0JohXGxUcoHOLNC8kRZ8iar+od
+a0oPolDbIjwNK3KnYS3ZaZjU4UI229aG4ozqoK8GdwzXlh7DYVqtOoKBID0zRE1Yh1iwyx4WFhQe
+C1lTvUFxw1YblTlssh2YQ2fSulqLx3jW2QqdMUK1gm8rPQ5nB1+GOdXQjQUzgdnzcb0TcfalOpxM
+nmFfQoE6ypekKI+KvrLm7C960aSZMT3caSFLmxyki8S21VklTHMVxFWXT41tZTw3ORbZt4zRKHUG
+wsiOGjDElPSUVHoVN9SKd+skMExGeGB7Whsb2P/WKh5bCrM+ODCarv+szEZKojlotjpw62o4WTne
+W5jxfDffLlariShBQ04LH0buGjfv3tuXjDNN9Xz34ZuGv5BjystparKy3ZdsPpezOKMC2+vYc4WM
+2VO553L2IXKXqn84H3LMfhjPL9f1LQC/m3qzMfu2OMs8bEnq+8dHej3DPVF9Z+A9PPJG5Vx2eBtP
+v9VLeSzrGsK+Y16y26uwTYo+8hsNiajV2q/F6XvGFnuLjd7IPu7tFiJvfp+8v32JiFy/WByFn4Xz
+iaSo6IAIBJyTg8HXR69gBnGi5Ni0fohBhG4fdnbtLBE/L/3i7CcWVAMKMw0PW8aZ2fV3ov27rOU7
+93tvLl23MQ48ErFj2Pb7hhjscqvCYuX0E6VqwR7vPr0/gMG1SqW+2zZFMy1995tXxHhMYvnmcHwP
+oHTud9VUaGD/ZPvBnfmffMK3WQPI5FV+vaqiF9259H3ak/kg2mGCvv26ytdeCgwxxnwJ7jUsSKHB
+pWZf96PCwnHn9P869r/e3PinbXEQhfrHxPeLJXG+s2k4lu5+5vKroXPpzTykg/HlR2YWjwe343Ce
+GVZx7zyFC30Pifttd0gE4k46/hLGRqlZMUjltjX8IePy++jCIt5cWhws+l/rjlyxemt/IX3J6BYQ
+RsyejRfXX97b7uhdnGl25fB9g0l67ICUsu+xl9jTKpfaBrKFuTvm58i1O6pj+Kf9gQhStzclm/eN
+YaHE4CO2H7bR4KoaQZ+82dIt9+n2pXDPNmYJDbYLvYaqgJx7mDebJW9C7uuvW66Xl8xtevTz+FWc
+xt9wi0MYsnqP6uDpCPotaisQfYRogt7eRQB5/QzzHeLHS4yDtV9AuM7rQmzw/GRx3FaIfjbfmQ53
++eb18CHfCYX3+fjnq50lyd733V5A0RARe+w6nhxDyvrId4pfc7GzxCLAVPgFe10T4zY5QviENBai
+3N6sPf3CrpCE3Hv3pRzDTJ8jqYeXO7RCieiMiYGl8/i8hXXyy9zER3WLQ8QTu7Sws7Q3s3eViAVe
+wO6jrjR2X8ZmbD/Z2GCdS3d2V3AD7M2uJIAiGUCPscFfO5HfwCyvxNO+laRLrpuNuziNtd7IAXbr
+IWiG8WF0MyMORIGMejnfvwerj+jt1v9dgtuwFiCqP3FKXFCWATS+Cg+l4vg+geG1wahUHC1fEUNV
+WYNyYRHLvKC+Uc+xXT3zBvhYpWPPHI6CbhDluYMGoJ5uC4vcIoyXi7WFcbsh+OTblTdXg2TSm448
+IoxYHCJO0tXtelycbWcxYux/b4bc9mpFnFWaTt0swU5uuRHj8mbu3+55oJOP3O1dGDx11D3lXX+0
+zLe/74ngLOMqoQ4AxsbOSizfeVhPct1OlSqRiaferRcjPwR0bEjf+jFUuomlepB5Jsnh/PeGB4A2
+yIJi+fnNu7NOVEcZZ7612HjEdhZH7O2JqpSKtDMSb009gXzttb2ScH7+aT/Xid80ivXfDi5j+p4b
+Ypx3fokYUYqOt5aHsji0uTyODfakaxefaEgV32fsJVCLE+MOEyPu3b122VG+j2Clj1wYPl2DxpN9
+KT98H4NdGf8c/YJGjVdARXfS56l86wp9LbmSMTfp940jROHhxiVwKk886aos463PURJQcuYO/CmW
+4B+wzcpA1t6X40n0yeIQHrXgH+ER+iS+g37LwX5K8GsxK3sDfS3lhK8FWaccFPZF9KfJ/ykVhX4I
+4WlLGMOdvLMn+UCy8qc5di6kMM2cvDP5oMQm6GmGOBwXwlNJ7ApNssBCaQtvPwgIzsonWRIgZ4Vu
+BfTmbvnXMnl5L7DnYoPFWJGftYgYBCD+KPQjwEOjKZGyxpLVEPCQkxADgqK23CXhRRY7OcWCHrWc
+LJS6QHSKMaqOTI8M1bEtzoUdHny+acoaicstzuXM1U8KS5Y3hKJGgSJNZx4FKGUJlKIwCnGPtXkE
+iyNjqUTojMWxHG2lhoxyMhkWY+IPBD+yjLDvSiL6hafKrSmOS75dObyzcxFfhGPcohmgd0j5Mort
+NJGq9psECvscjnb7LSyoiHmBLs1uHylNs7syzyNT0hzNpaE3Rn0eIYFyx86lwPco4bUiAxDISyTT
++COr5txNt3tWWO3q1UaO2Tla+fbecSWxq6DosDiAINy6Ym9LcglVrHtOgCX7vcLTB3ZNVKuxX7lx
+4iEmfvcdq5Q1ioWmoL4svYTPsS+5yqOxoh2QYo3JsF7eET+fh9LQfy2qPMlFaT1Wl6mFPp1vrdzF
+4ojJfgDFoVURRT4yHgGUCICSesSJGbB5uhkZFMye6zpdZdL7uB5V7K1MWvG0sFhQ+djL+2eKuM/Y
+rhUiP54ePrfy8Q9fRaopyRHjBUZM51cx0xIZeuCNr8JgQtAesgUGdz9Q72DYahYiL6E0WH2k4WZj
+MU/udh7wpgepafyCijhSm1k9+R9UxBF4i0NDEUdTK31C2kkDi7cxB4rj8ob8jrvsQI90rqCOGkmN
+lvNvdg1EfatR99RKhHtUE7FkcQidGdiG51iGYF1M2obnWIYWh2Ab+kUHhllbRYanygdRK8ScgEDc
+A0T2WOiu7ERkD20x6RrkWPS/jezu4mRKbjmafwu9KAaSnNO1qJQLcKvR5Oj82THnNgAwPoDOr2Wm
+SAbarCy6UrsjWJgVp+9FYI7GHr4Pu901S+7mIl0iom8hb7b87edoDMMnV1MItkYEe5U78OjJF755
+fHninq5vsPh8P2hi9dpgAxiXP6S5r9LYXfq7sFh1i3i5EC8Jq79/BcxsU6gUIvsChvuetzZ+g3wG
+wLq8f+WT6ZpfeCAQe/Y7Hu94aLBM84jE/txtAk/euy71y/fSiQNKTtderwD1L7cCZaWgs6aRb5dH
+P6VbMsOEcs+2JBrore86+J5x7UM0MBRvxuwDgf0jex/3uYpJhO3odtUqIMjASlKBfWnIFocImyfJ
+WAH08xEQAMRLX58ee4lc7QesCST0nYgP6/eFp2YOGKGvy0fONwNX4Omx3UUkhx5APvbyE2YXOeRP
+rYtVYtED1PHkz3eKz88k9T3xyqVTOHc7+FrlXp68N6mptz3D6EHyB41bIoLkbAbtl4qfuGc836ps
+JmQjs+xOFpAg60y6FwtPuXdP4cnxuQNEWvMCssDj3N7HQrH8DWc58r4GLU9DWJQlnLcnv6rEu4t6
+J994rlWAuCEmyoHAvWZxKKx8sEyFuVpLg10pHat8V75+evhdGcr+Au3iYWLL/d4NaX7hnft8YrCZ
+BPBK1wcR2IEehFdiHLBFWYLM3DNbrPpevhVEB5nCPzrv5Bc1bQOjfz3mMOa9fR1WODEqtLynBgFe
+PDxiYFAdbyXzEQlJdIpQ5cMJCKTRJgKtkT+XepzPRCEqLixyyQJN6abS6kFz/J30rR/2+WRw4RE7
+4yQNsvwbqw4U/t8EtRo8g76HIVEN4NoV1g7Af8LfRK22The/54VI7tYfg9yyOvQ+gV87ODchbgA+
+wJNLfqDDua4S7fQGsP8gNgF/3mapx0l6Rg46N79ypSXG6gedm+t2qW+/aZX6zfyu5EjFB0BTUh38
+xDEHK528gu7XCuiRjBYW8SevRFNi5Vns1pVj/Ml9/slDjAv+FL1RAE3hCRvYlSX3a3OXr73iI+FR
+3ZupPY6I0TLpEyGD+blcRCl/81KYP9xGZA+c0+CU/vrmO6AlT8H6lW0ASv5p9JX7LeBpwK7DdtJv
+C8SUU4MthXbZ1Dhfq+k2AVpvMC0hFU5TwkKLtqPUn9cC+eb7/Qc5vLuJErWqrZ1sOn5Kue19/Qe1
+48T3IRXl4217MQ/+q4DlDrilPIn1jnKNbspQRnyARmT4EP32eT7iKjyTru3gs/hY7OLKuWBb3xs6
+sQGr73/VhNKuF0LucUe1C9x5PwL6druWX08bHqluCZ/yfBp9/ZAt2F1V4oMFo83E+Jbc1mRJRPDm
+vSO3Ysnm8YUIql3+KDvKnwmgKcz3ksa7eukT0GLuGnkwyWH0yQWEbe4B7JdAY3or8JA66xDzuH0x
+oEJGgO7xmlug04s0nRx2Ob0tdv8snWny+0ZgzHehUtp3JVggrPtRcprwjpgrdMVdk/3NaFxy1cpJ
+6SIjKnn6sMMHi3x7sBmz+nQodjUpLK8SP4ARjrxA3c0miVxl88u+BgWGDMpL+JaKp58aTbDjn0OK
+FUT6GGIFUE9+g8wlViIfugmVdkFgldQ9wHRxlwn6JwSgkINxP6O9pxu/brguz9fEfSOd1G7XvB5+
+gnbhKWCta0yVxgTY5WLlirCnJcansrMRMUTLJLWIuBmE0VZJPXjD7/lOx/EkLh2UldH5b/GRfJoA
+zuG9hiq5U6qSo9WItT2Q/TvzCV+1mEt/Pi8QW8PCHpebO7nc9YBJSUTjhZi3LkKOAP22tQUY83pi
+Y8UW8G/6ha9c93q3k/G2zi7f6rxvyo5SZ1NYLNcJOTMbazIzOJfmjTeTbzg/SX8svgYyoOIv5Vs2
+xoB+Q0+uGRDVnVbxkdi+FaeRfiFduyY+IEO9z91WigE5FJY4CwmgIfgJgJgXz+G6fEdKxfx4AxhA
+8CbzQaTWRHX0tCPuXa4SOowiaNvGByiwM+L2YsTRF9AGrFcw+NurQuT1uRFfflKdow==
+	]]>
+	<![CDATA[
+	3i65qlQvt3X3rojaffgTcMvCRio/eU0P0dgoKGN7xA8x8Q5nUD27hcJxo0JPQEJeXcduvVismW+k
+SCAIChvfgYAu+tyQVJpAG09t/evDfZB0Effb5lfuNmZ7T5cT4Y7gjuAEb2JWoMhv/2QBWHktAJSW
+djPfGk/cKlsKSDHQ2ZMvEWXSL4hxx+5ivbI6Y+alNNCOI3dAfYkDnWn8pMYj4M6xbYhyY95FfEp2
+XqnFuA/YtpzfC6YwApDvdO/mudvshgAcLZ0g34aDN8HeZzef/SqXeqEnufgOeynnpw+A1bvn76pN
+EtN0r4g/L7fCqRKLyuQ8T3by8WRrAsyC8g881X44gAL0Ffs7XPiHwrKRjZqbqVyd4Hr5Ju4zoQQW
+jd4CKVYoNVNzfqEq/ly31puJR11wtxWlXahputzeV6i7aFydOPPgSlgcZQfpCcsYSdjBqb6HojP1
+iC362Zt2hrn1vd76ktMP+wZslfFcod9K2cN79gNofWDL7Tn1PPaEkcNhFi8sfkZzYUET+fYiF8w3
+kpNE8SHwDuSUqx85JNg3H9QOfVCGU7DTiLh9BE1pv3PmbjPzDqD+u0Ts+iroSP78Ui1oXnxDkUgj
+uU/ubqo2geskAU+qAJ63zvTz0Wp6wIuEA62WPRMv3LqvHUOg9WE3sWv7IAx41uekVLxLxyR7kbfF
+tpzOD5lsNhNtFBq796ncKIzFfvFknA1NIFuRHfT18R7A1Gg07MSyzxEyH96F3wDmv7uFhR/vlF/7
+m2+0vQSS46lNIDRhN7EbiY9oQfDK7KkoVviZr64hlBpx32vnJI5DVi6QoxhAev6FmEQ+54Bv7nIy
+D2bzLd75rgRLxD6PTkqf2AiTQj3bFexKqXyBLlLPFSKGRGtffACf7q6h+ZhnKVTeI9AjXz4BjX3+
+CnElD9LBzb6KhQVdSAFK3v2OBgfPmdGTDHe1AhTGGWISLkrcuAjHt749MSK9j083GF7Lj+SL00Mo
+ABibRGNXyXnup56LXy1feOXgxmaAeWFx+LiSpyty+Eq/p6mX9Q8RJH8comeZi1QB7LgwzDdqFQrZ
+p0gfg+pZghjtc938Oj+OSWaAGjftsS4fYZNzJDrXq5iib74xOQKU7N2kf0r9bP49NVouyiqO4Rj0
+9TnJ4f7qE9hbuz2SSFICEUV6zfOS/HF5Z4ALOHdiL6w+JvbTT40LjFM5+NmXbwJUuq0HKblEdbnE
+FRtE6GCQS9caOxha1CPubWNMEQ31BCTDQ8kJ1KBKOdGoklFgBT/7FE51ER5rwvrWb3gpv6CWSCmR
+oyBZKhbCYSQahRgS+OvXmHSWcnV8pMAtpKJBydWxueIvs84rS+fIGMoCXgQ0fTrItismX5fA5na5
++KcvLiLY6wR53wVSEsFa/hB0KeySo+M1XaJuHngdpp8u3ZTrG2/ebpuGiGr4IURcv6cw2tbMRG1F
+Dw5kZWULTZNIcRrveXO3vtEK6JaAAWYiqXCoheGfwYLEOig8BjL44OvZi/vq75nssv+xZZn5kyc9
+BVY1EFH0LpfA7kvdQDj/GM5h95Vhlge1ROrXoAydptfh/5EVYoXlYYgEuv0dxbZyJT2Ikr+7ZibU
+gIapQWu2nTJcWNqFGNdqFNX9LqnM6L9wsgUcFhg7W0eviJJetui2jcWMz60wiCrne2DvjwJdjFBu
+T3VEr3az8UwvfJt/tclmsFZXQzBPBXSN90zeMWT8suptRqrp3ObvWDoKY/CuIFR3EqLA3GIRsLba
+irsWxRBVOHylzFB/F8VM7ac9Jd40GAppTFd4k1j/uWIvp0flJ/OD9R8mhqkxP9mQVbNV1UGrhBlr
+vsmRs/p8Y0e8WJvx99zoYwm9p4Mm3XkqXlZONKL78sN6tdYGHDF4czhl1ktadcLq+cGHL+usUFQ1
+i8fk/XR668vfnZkfMusBtWNrampnlPAU2WGozUa4tU99bCwA9rKqEphfntn9uWbmBUm+k8bVC6Zv
+0TsOcl1IddLDiNp6iGvpImb01roF362jGczOYIUIPVuBcQLpAouBWQf0bAlrasFbQ5mVFTwEbE4q
+e2CZ3pmVWmyRuCPWcxTAH7B2aeZPegUYvrUNOgcAtrSVlHbts4LnwlMr289281//Exb2mq2kQKzr
+EfsbBL+0gpUFHHrDwMKcy6X1T/D2fwLWQsBaXREoWxGMmmLAyAGTsS7/638Np/AD6gP+B0Y1+a//
+vdjNJjQ/SjCg7X/+pIdz1IAdyn41oOfUCl2uuAuY494A/JCZbU5d1Q6f6Hbcay0xoy0U1WNkkHfC
+UrxiwoRJqdRiM7v/ltzOeMJNC/wwuHQeeIERSucRe9UfPmKJ8vHrcaMS2G8v9ABeTqXbWP/Gw9M0
+HWNByG83M3KLZcR5xsSWZ++ZnellvqlLUpEv6DHL5y2N0uM6kqxvQ5mrBsSITFWlj+5s4PqBjduZ
+7peDFTVb6Kt77E7ik8WOWmqJpiW7bVh7xwqX/3KiwmCxQdfSS5/1aBxsTHixMS/mNC5A4Ft3mNkS
+Nn+RZw9r9s6315ei4kIXpHdEGKCjo3ezgyDSgeJSFa6J0FjKCFoULm3WLPiWQfaxrLFUzuO6GhB7
+nxW82aoFCcQEk2JZT0dyt7N5TUciIuFV4YBii9SGvTB8pnfVk9gPuuEELrF4zRcwbcEzljMXBYtR
+rjm2u2VUlU58S3JrGF8/l+/uwHgVS/TJS8rBYbGl5mDiIf/MgoYr/UU13c6bjX7HvXfdQRALeh98
+3rvpLgw/hSK3T6mw8OBJ+IQepMN3nV2BGCfLcxjSRRFj7DUrPA15M63Y1OYaYX6b73dTsThs3qer
+x0C4nrR5s2XbTWQbvn5CoCJ3je8sVvm+v4MDSaBMEIJmCnvS+1B/QQfb/FNiHghuo5XoYkD+Em+l
+aCVfiaYcxJ13+l74fgy1LY54LXfnAz8M/aD5/RK82CwiKFFb0d1gR4amgbmvEzDTgymOyx+2fOOr
+81y6JSM//CTrW4YJbZc2/+ppYPOFSnmb983ltfmDzh6Yi4PGazbvMFC0+e+TPTCj+T03tczGcc7U
+0vtJN0LYaV/V4iCZDyaRr8TW7fQd3k0Q9tGeJO48tWj6rfawuHUEf4u4J59aw6k9sUCLfk8RGznf
+izzkwFoy8WzT6YxsI74W/K0A3v3Io9Un5tlk5foHfeJe7NPFre3TSYSc2StC0QQMNFEO3dkrX+Br
+eYGNbl4JKdrS2y7zsUjUsGCk7UJ4QCmdfLeJsqMXzj25XOBFfCUZHqQY5rPoyQFUJvYclYS2DyLQ
+yLrVXmkB/bI4mM/+tC2ClQHNfEd/PRtMFehX7K2pBbRiXwyCbyJQgDEJ2Fvb+9befW2qA33KOLOp
+4vu9GlBvehi51QAas1sc9u7bY1R9rpHXN6xUiDVUgV6VfhLXq3C6qQYUK42HFREoWBc52JtQ5JXU
+AjrCymXmVX2mpd/nULEzcIDXImsFULguzmWKA4toULaqYaYbnCKggCQHpHxV35lPoovQ6z5c08hn
+ZBd49iOgMFxVQUqbyr0m0NgjlrZrAR0yXwm8pwbU4tgmHkmP/Te2f1Cb69a+KZS0gFbuQiv8TR1o
+xP3qTS+eHxFQSGPKVaWimkCxUu2poAE0ZndEE9E7NaBgXcCq9rHSutNRRfBV+TpzU6enz6pAy0+J
+ruZMb/y2ALumFgdOfsxLsk1z5d46c74tBOo5AFrpL/mdGt8ogdarj58c0Fe/SwRqcaC5xqOBAIdg
+JdDIWwGrL5/i6kCrV/tE/XWYUAXaWNDspoHcUgYWAa0Ffjc+DaDvXqxd/92rA62Fv+ql0p1NARRA
+QWA71VlVba4IaPsh1vnWAkpgXewrqQ607rd3Rn0PDO5Xm2v3K7PVBNp10f2NFtAH7MV/V1AABVA4
+sKT3JZdgiqpA33ydL02gP1edekED6EcM+6S+vQCK6lwfn2fzlOPRpwr066vX1wS6pu9cEwVQCIUD
++4B9k3VCHWjpGb/q7Z6qakAZ5tFv54AOwm7FpvEmPWQYSWQAlrraleWSJsXsw0UMAvUdAG1kXL9f
+ZC8HgGYYpaRJrV99HNB50oOAcnIfgXWM36/GCGio8IpX5Uy/hZGP72UI1H/Afx8f/bykKe6U6CXJ
+nwDYlQjsnYv0yeYanTpHXo4rha9TxZqcFdLeTOLxHQINHgKNOa4yr5UKAHpvQ0CBFBM1zlChMeWA
+pp4CCgT/rDPknAV691yvy9ELuOHPdI3EG6c2SjTB3RJofaHUaqDxfH9jC4+WG/WnETfYNNkorfWU
+AcTwMENP4X5RPgfaBXnbINm3D8TyWwar58Ih9PRQqrzdYfXWXUTxVKTktzxWH9ViWm8XsQfbS0Lr
+KYk1htWW1tM+gNIuzncaz999WLtrt2k9DWCd3INL62kUe7b9BjiMHTy/tWHM3hZnn6twqgrWfbZl
+2KfKbRYB2lp34r9TPBUx9l7HXq6Tea23H7GXGFnUetrE3uzxT62nPwDKZ+/No/H8I459/ox9Wk+T
+2NdzOqz1NIf1Y/0kj7GD530GDwDLQuNtyoUnv0MPGk8Hdrxw81XSxNigjdeeru413h6u8cd5aKbx
+dOTGX39qN+pPo18ri6OTvt29qT8PbZs2p/se6VQuYMm4KvKneVugksuyT5WcL7Rb2G4j9z8cxg45
+o/vDexuaPKm/HXF/em/Ty5bkKTDNWqJlmN24Rh1vYb195EwzYDdCbVwwzsgPuqBpmjHMHe7IBXfO
+YqlzF38FpvBrh3gjbwPgN6xULASGxWIhWPOC19ob3hR0LOBowjy3lMC2h53IWmbNnefN4l3km8GH
+xMqJBTO9PTJ2AGsd3wqOAHtwlhm4wf67IoGxk2oq5D5zFXJmmn7e3Gk8SG1RCdDo1OO4ta3VgUZe
+e5pAgTZ+VRrJZYQUKFbqFJ40gALFuDF7oLSAfotAESXL5npV9iclQEc3N3YRKLNNebcC0LACvZHe
+tJ7mgZYXIlCojd9euaRzjbSvRaDM/hsPaAJF1KYBNGaHlsOHKPelYOFc3zWBAvQuQ5pAkeWgBpTT
+xqHtMFKbKwRKawNNPD71tIFCfUQi95UIhhpJXwvo0wEp2VM+Djz6xNF5SmX11VumTfUY+ajrt4NQ
+2JbuJtuS5RehekzudpNt3NJ+IfX2UK0C0v9Y5sJjNiy8loOJcM+4T/jzLjX1OSfZRua58q5gFy2J
+EzDbvFlzfqabdk7KmCD4+6jDAZPGwT9wQV+kKrTcCwfGQ0DLsYSayDxlkOmVn5vg642D+0P1JNo2
+cnhJfReg+TuBk5/bsmTCkiFnSQf3x/ewZrHD6vwKRx2YAaDAogSB7OqLmC8EpzThgH8ASd5hVcWg
+FEMSmmgMyUf60B+wKzmMsh4bzpsnQ/peH+niH25+yCaXzQ/yZHaGTZuURNTnh/60DNYP6NgPivUT
+PIriCvb3Hn7wrEWkNr+1sMhm1k+wxFVXMO09ElkaXSHdMu0z0ZkpYi+/btTwDuZyNA==
+	]]>
+	<![CDATA[
+	ZWFj/OrVaOfI8M76x1Qxb0SmpndOGhMp+QRkyVlPYH3Ael59G9Q98l0gAML8j1wNEk6jLOlAGLCI
+QGSLuW8QXarj7tW3k033YDSIt6M/HO4OTz74XUl+dG1ajFt9V7Jmj8rU7vBaXTE1lV1pMDW/XX9q
+7B+6GbjJbty3G87Pf4DlcnNpPKsbdlbqxP5FYvR2/iKOQVz9oybk28glRFidzsu1GyR7LYfnOOwy
+EcHjEXOIlj7D8zEVOY3Ru+cgRzsCJbPWjWpng62myEddWRy6ncn3Hb452HeD0K+JOVtM7LsSdEFV
+dfZdf+8Eut6DT+BJLgVZiJRMlUKf+0LNaEHhH27wkbU6bYD5yXlgWDzlgc99BRc3KOnIvBoES5Ww
+cTHwrjHJxpOog7H62LvhkkR+D5ZknmD0Oa3IeiwOTeaDmoNZ9bZaCkHOWGNsSKRYWclXlYLJcH35
+xqMyTl07KwqxxOpjSv3JSHuaJ21GyMoMXOKQuPMXtUGFCm/Je3VZKYzG3JDsOrJSXDx94cGv3/xa
+U6GzsDqFiRVk109FpTOxfoJ2IUMWRlP958sQA0b/0F3Oer1AZzKBougK+S6O6Gzs/O1dDGMGet1R
+40q53i6GMQVHOxZjnF+L98Pssr8KwzVU6H1pMiFWU7CY1I4nFfOm4KGAZvlYBZ7PVEwq2C3VXVno
+za8utCsr8ASldoyh7NaksUklfJ2u1U/EDjcaGY9AevLx2OkHTJsK2nNBbOHMZepj4kA47UJ/KKoD
+MeACsoGo65ZwKKGjcKI+ELDtvezJuznbMPWrLqJ22a2OtONJxSKzDdGhpujkRgTEveNHgxLd2WA0
+P1XABSjSBC1atN0aLO4+tysTTg2RebjVEAigwEGpKR469rD2kHZ2A3vfLAOoHs8ANHWYn6p5BqA/
+v53Xya/LGUhn6UBXU7A4DqlWQ5e/cxE73FP4KR0/P3nUDbuCU/MbUs8mBxZP+eNXbvGdgSxhi2sS
+g8U0skxscU1igKsv3eKBwy2+vJdvcQ0jTc+7xHlIwtepq6szfQnLe4WdJ47G4jjOqLjDWUeBkSNA
+7pJV5/xgarjz7KmF77qdB8kZn4GDQ30gyV/MrHtDWP0Dcr8HULrrsyeEZKpMgz3e73OH3+/l/FwV
+LRYTiImaQIy+v4bl/Pe6Hhs1ViDzNMhV6btUc6dQpS2O8N2zw4Qz0ViV3tQ0fWYWh2miunvGHbqj
+0RaDSo9iTSkI1SniQBNWm5ooBk/fL3fPGbdZOteUyJuaUvydQOepJxuSe2wsnBkPp8aEHBFTvj5d
+D2dNlHenM4BNDUBRiDpVxBjrshA7ckGnScmmdFkngGx3So5iwaci+M2l7ey2OI7Yd722ifMJNYqQ
++y3LzaWmvDvShQa7ErytuvvFhL8VdCbXQU/iyXDT4Of64ovsKY/r7H5gLx7NXiyOY/rxnjgaSWwP
+6sd3kVn5Fb0IduURkk/oLGBWiMrjYFXd4m73ob7Z6xx1wsBySy2/F+jsIuIG2ZVwZPyxj+kzPk1U
+jm5CynMo9XWRKqB6HA2YLlG3gqOh9CLFgCU0dowm0Xs+iqNpnVYDtfJiHK27tjgudYIEOtPkaOY1
+JeiXDl9g78OjeG1eZHbvH8Y+aNKYYT9KHqLfi0RTUvRjhn0YjyaoiCKQHb1M194MT0XquoB8wbx6
+R0IFGDvKHx0GVbUULtCL2yrQ5vEog7rAbwas3HTMVRE8qJpgQqqamXj22uuefZAr6UrOZDXP901o
+9bCzw+gHNTZjcegEC5RfN6I1faIqjVbNIg75rH7kLi1lLxbz/RwTAqHuh2H7MTapTYxGLfBIfsKr
+p5IrOzvGkQUxpisNqauyVykNqau6CZtGIgs1Lb7ey4n6vYxIP34lOsy50hB0pisLLcdIw49fE/q9
+GoHI5MsYvzLjczHg99TVw0WkGOjnOOmjIcVAP2dHEKFeMFOxcMb9yG0odVmoc8ojXzC/vjTUl4Vs
+RIRMGqZcvgNpmHKZtiLUZKFUUwJDed/pB08dhGFozj7lkqBSfvKuVCzEDal1+gZMBROarsVhbneD
+zs7QdBVehT5janeboJeUK6aDsaOMS7CSXTNapkX3/LTX03Vdm47MYz29aFDaLgoTqqZCLIUKvVe/
+KJZ4KMrYiVPdvb1XNbEkan3S2DWtyDXpkhR6U003tHJzWVSNVCmxFAMGtpH5aFvYmSnRohtQL3QV
+MyVfzBBx4fXqxuxKsnJfKwoRrqWp4Fu2Mw2+MdhCT688WkormtEwMBINSd0tzFKyie21kW6LKbyo
+ptRXJWduBVC2XLYxLS+6VN/iQJeolJLXua9SJ9skT8yhE7ComkFncVwmh04/gw7uykvk0Oln0IHV
+v0gOnX4GHdJhLpBDp59BZ3FcJofuAKgsg47dlefn0Oln0LF3d5yfQ6eGXjGDTjVb8IQcOv0MOnRL
+wAVy6PQz6JSZXKfm0OnHQ7N+y/Nz6OQByUoGJ0rkd8JUsItEr9POwPpVDOlAiukPSjIkg/gpoOuI
+7F24H+ZAGhJKF9PJ8avvhNLTa4wnLdu26dSOJZd44czgSe5iMsCTiCXlmThxIOgPQovsMpNLLwlP
+CNbhraTT6WltRJooru+czDmz8xN8SnBQxxCn3pDkRzlgSMqTEdNIN/Jc6e4X00lzOmrzIbmqRdt+
+ked4D/n0qYbE3JZ4rswEg8jXgDR7Nmcxdht/kSf6q6R7H0zuw35mzANMdtMLBREiIY2T3XQ9xqY8
+vTDZ7ewDF9JA5zePGJ1QEB0zRJ2SzWZomQnByg5Ca6jBypQIY2exOfYwCO2NPCRm84TAkPomAii5
+PAv9JNOS7lm2GceZVLuAXsiQOTeJhuNM7jaEmq4PKXkSnlyGiCEvoU6MygrJpsgWPCoPLLEz0gSP
+yOP72uuLyWPy+Ix0L4/UaaU9JFo1CYaz980mF3Kpadox8I2WSoKmXh6fUQy8+Tw+h1HO+xF5fAoP
+pqpuab4zgwQbta40MlNAZ8HLTdLwloBjOtM+vTgBYwbR/sdhLHzOJOWOXx/H+WWhTpOKUfaMKV22
+Ivp8tTKGxM2ulRFmkC0n60D1XKxizHDQ7sYNufOkgkw9mW55qrG3uzOgVItEe9bq4sasqa+ZhqWM
+ItBZaS2cGCXKgRUSzvg0F1lxMqJjhmnm2uHGe98QHSZMOP1THmmWnCnxpnEmAe+F6/UjZidkFMrv
+IhhlVuzndrIzyLGS65GamT6kQQq8RTFQ7fSj4yxouQIp0ceqF3Pv/FQVzh25PnbMjgd4Mr1dWQ6j
+jafj3DtamWMoCvoo984pmXFi9pOpQR3rkeGGJMhK+aDOoCfZkJR3qpyOJxMeGYtm2p/cI5PZKT0y
+MCXJrEdGerPxIY0t78/2yISvU65ry6npOfI1uNf3yIi+CxOBfMv7C0QQgclFXOenoal4ZI69Twml
+oR3tkTnISIVpaKcGCEuWSe6RUfXCmcvPOyI5B53xaaXnAOxopucclZzDenqDh8rypmaUh2pKWa4h
+ZfncnMTUk8OIASB734TVEr57jptIHdV26Ugkcs1s7Kzu1NxqU+PjLsxRaO3Ee8MUd0LCvDrt0IUj
+8urkTFZLuzDKqzsullHVSqqZTCHRSB2V4wa6QI0pWSu5ThGoB3ikU3mOCn5zG8UomjsrM5UPZ3xf
+3yXy4YSzJFlG3PExdYpxKaTdKTR2fD6ceoTqpfPhzotQNZsPZ5CReqF8OJbGzMQZnpMPd8Atzd3c
+d2Q+HMpKOy5V44R8OO0Y+EvmwyEaO8iIMwrpOzYfDt0He5ARd8qxTrm7vkBmPRRvBnPW9DIe6Jaw
+M1Psw0RMJOgqdInodE++bebKD/7ESoPQni9xDWnvGVniZ19rgUYjN5618sSN+zk5x16SyfWsFstw
+fMSzkFynd5vW8RHPQBHPepSJOORHcWNgv5jchq+mL4UVchPU048Mbk0xewgBbzxw/mqfhR4ZmuxU
+txaP08aLJwY2KLRxmDJ4gW3YVWzCE61X1I/ONjR7dwfq5+yrLlAvfcbAD2NStUedDbQvU1S9iUIv
+vgHM7yCqCP6ma1BbzJrUMAepZSJ9wYiPvVwuI/XlkhmpL5fJSP34vUBGKn7lv0hGKujnIhmpsJ9L
+ZKTCfs7PSIXZayrmoWDxGeavKTeIzqWpB0FGKtkcym3YZw63YZ85yq+lHW17yVQ49t4ek4lPJ6fC
+nXYX9LGpcBaHnuF+qVQ4FmPKPKJTs4i0UuE07EoNxnVqKpy6V+HSqXDo7FWaDGcyFc6kYjjYIsak
+lVt93I3wMPFJHiAhjzdUr8ujl1c31zeLVE9sNNal0Pu9Ps4I1ziCg13tHBfSYV6VF5FrnCWZuMcW
+rKWp+9UOrwmWZ6MXA0EdoXeccABDEm765qGcFIMqKuwFdLOHCjwh4o4tyd66jtt80582rFpet/kT
+/r7NU0vjNh/RerH5ul9tm68/6tg8nXwMfmrCdkWbv9aPYsHePM4Jo8x6Lh0w73ECuqUs2c2lk+zW
+DGJSLijLO9s6r9fS4qnyVDeLwzW4bs018s7cH3rJbl9+TaCoqLYsElKejSUrLaZMdvvSS3azRTWB
+AkqGZbUFsMpsLJ28s1vbp04C2FOuJdOUFMlusNi0BtDo1JN78Wy0kt1edTLsAIIn0lVVJrt9VrQz
+7BzzYHegBZTSzbArYylNoMx2cm/XBGr7sMeeNevx2Xx6pfGqN4pVhdvVj8CjT3wm3n6k2Y7l/HzL
+hp020+NVI2Mz0Y7Z9+cOjo+xwhPO+kAV5bcueNvnUohTPZPqIORW5S41hQbbvFkqw+SV/lTD1B7t
+OlbyNJeTi36BIeV+j4q50k7tMajRooy5Or+SnJr2Kz9LukQlOR5P0jpyqpXyTOLJeXVczJVOXTTD
+iiOyuD7dum8XKiKnWUJOqo2bpQPjYiPK+WnUsTq4S+3IFFTpkIzrjJhBusJ3fN5+wcb1yIepIUl9
+nhu51nexbLrDEX6R0BK/bDadmh0gsSwulE2n5vBSeHovkE2n5nJWvXnyrGw6tQCQwxjFc7Pp1HLp
+dO66OTGb7iiv9cnZdOqUfOlsOrVcOqMYxeOz6dRWwGL6pMZsNp2a3azM4D4/m042rge9s9dzsumE
+IUly6bRzRk7NppNZ1WKF3Atn06mtrtTev0w2nVounUq9pDOz6dRy6TgOc8FsOrX1QzEkF82mM9aU
+LpFNp9YVOt+/aDadRs7IhbPpLokx7Wy6EzB2QjadNsYumU3nVcmlQxncF82mU+OLfF2ey2XTqXUA
+1+Wy2XS6OSMXy6ZTy/2SW6+XyKZTy6VTnCVdIJtObYUkGuyFsunM25Wa6DCdfqN18n6pbDq1XDqD
+O4i0lFI4pCMMQImeLI+oTG0PkndchKGKoZFGp7CSfqpGZSrNJj7JuIWxdnFsvTo1hcdAuzihXp2m
+dmFcr84knrQq20riYE3iaWoovg9JQO0eElj3Tq8S7TFDUur85unyoLqcUQlaMVPYaA==
+	]]>
+	<![CDATA[
+	UEelxiqHJHIYOCi9+rPH4ClmnsNoWkTh6+Q8KLeI7pW3ixyeV5pzg51T5k7EmE6hu+NUcpUyd8Z1
+RjTQf1SZO03fhbTQ3YnOGLHM3VnxyabL3OnHJ3OF7o7yUqll0FkcZ8dAmShzd1hpQiNM4awyd1BW
+Gha6My5zZ/JeOCAZ7OemhtQumGexqR2fWaRxLgamdnYuT00UnWqxCuYT6cxGHurEWsM1PzsDtoZi
+f81EqhgUqFPKylOy0lBtOgNmbRhExtdIBdiJnxFEpsgncikMZbaOVVtf1JkVdNA1r5rYdWR0Ohho
+xOCmNfPRUEjnv0w0FMr9P5vGALZ1A6HMZz6eXWOSu4ni/Jxa1IuWyBO4pdl+DHegqTqJFyo0yXbF
+66q63NJsYu0P7TpIrP2hDc+SzHrXYGdmSv5azCV+/dAeU8xMIrsUFUCkyGyv9I6WVA1J3QjqLnug
+q4DSXV/kboAiihw5PzPl+ZIVDJ8vWcHw+fwLAlClPC39/bjMR++JiQwy+wX2c/bhLtvL+bcEsP0c
+o8SrR3ewZem0Q4aOi1WFdRKPSmUw3Iavm8NEBqiPXWgb6la4M51feVaFu8Pct0vVrZZWuDtdGz+m
+wp1B5qPvAvlEqFLe+dvQuMLdEZXyzrClpZXyjCL3zOWHqFW40+IwJhNrTVa4O+1W8/LH71HhTRp8
+DCh3BinpptUc0FXYYnDtjvnEWvxKu0q6+VrPAN+0idwLE5mPF0isfVHzZh0fc4X6MZ9Yq+kbR/2c
+n1j7YnAv3JH57QcXVR9kt/ORKmYreh0G18BUqWf9ezHsbFVZ423YZ3S2oWESk1KK9fTTmI5LYnJm
+Bjb5upx88RzsbGqQoW4xn8bkzMxN7EpNv6UcY8bWuzm7ss+IBrwZu1JDsqVcAbNJTBZVC005KB37
+25RiKIuFQ4lRB6oh+O1cxVCslDfaay3tseUeiwHlPQ1Sy+LYHNfB1uyJjbgumjmuoLNzr6YS77ka
+bC9V7rEYUDonz6iU1+ubrgKqfXvD64k5rmpDYm8DNlnHQE84iLs3G31YKeAp6vLUtwwT2l1zSXr3
+yVeY29eBf3I27zBwD9P6iii3LxCuJ6+FpbtRDI77xKemcdmCzBZ3MNL9Ka/DdhVKJTXK3F3daObD
+MfvvgF9uicsL3d2GJtoV53Rq60Ve39SAomwOlLD1g0tJUpH7N35sawK9we/7Qy2gIwRUIsXkddgK
+bQlQeWra1j6ltfLhEpXs7/VSmCmXmgZ3JZflqJ2GBxCc0as4l8S0Mv9i9uvWMvQlSjFlGp5OwuFV
+aRvRBlr2fXcVQJEGy4F10q74VCvLMaAHtO7UBMpsn7M2iXw5yP3Lffo7slWlkzx49IlbCHfxbbTU
+b2dxsC2/9suVYY8x++8X2WsYtotOObrj/CdsXtJHXqF28h4Z1/JAdJb2jDY7EgSdwnyS2mJybVV5
+HsSm2pwWNqlSxazpLGm4mFQ9JDoF6ASdV6uKmdkqX+YCMS3GKWAl7ft4zGq6rA5DXCa0CuFJLbBK
+IxJSH09aoVUnZKV5NM2r47LSYISmblbaEfSkH6VlPrYHzs8wJl1jfgrLAqa4GcZpmRySJJrt4Ma2
+I1MBzUVpmdgvaZ2q9OxoDmNj5czKrVYz5Yu8iI+ZPKyZcoIP9tW3M+vcMqr9BAba1Q+FMWP+shyG
+vMApz6tfO6DGtH+MPMevJfrHYBbg2UfWMAdQxZMi55amsgBNXVIqR4tSVpLm88WMrBZSjNJS9cOY
+LsnN73j88GxnEPpVcJgTs2ap0uVs5EH46mxnMF8nkbp6uNhlVwBZiqvwFdXljG8eUhy3zRMbZVkZ
+pYNRrXqp+ew2bb3NzB0R8lRAo5B4U1GrKP8lYXDPwRFpUQObmvImqV8pPxTRzm7TvufAlDYuG9RU
+M1j/2LJ6gNsb5O+bTuXUr3ljMb2CcP0YtUQdE8SgksENOzMyU8yPCzlQdeuLHdOZLsUfiTHDXJ5j
+MHbOnSPKriBHuxTGdCp5SzKF+c409UPVLECzxiy3X07MAjSbA2jyVg3NLszlAMKzpNOzAI/2J5+U
+BSiFp5cDeFCBXaML9SxAszmAKBrq5CxA6Qrp5QBaHHLRclwWoFl8Qho7PQtQsnN0cwBVbOQjsgB1
+lUXt0zf9LMDGs/qsDIvygf0Cy/IdcUHUKUX5DihZP2vrxCJqSsvinynKp+WFM5k4bLIon4SP/YNF
++Vitr/oPF+XT88JdriifVs7IZYvyXaZ+pVFRvsP7xnUGRWvu3hw7GuO7oc6r66dn5S7vL3A3FKrr
+d6G7oQzq+h11N9TJdf1kUzuo6nd8nJJ6XT99r5BK/cqT6vodTEhW1e/Uu6GUdf1Ozq+8UC4Em5N4
+mbp+8A3tqn5atzSbd+jWLlFfzGRdP32fg5jJdV5dP8nUVNy5R9ev1Kjrd5zf8tS6fvpV/TSrMxxZ
+1++UCNXj6/qpE99BjOKpF2dzdf2MKfkSdf30BZ1EHzurrp+prLQLpbtrVfVT8fObuPDmsK7fKTR2
+fF0/tegksarf+fX4PCZGY6Yen5m6fmbq8Z1f10+/qp/0xOqcun76YW6at9AcWddP31JTjbU+oa6f
+1o7umK5kZKauH6Zb1U92knhGXT/9qn5KP4xuwLVOXb/jstJOret3wDxkVf30dMtj6vqdt/fN1vXT
+T5PkOP/Z/ejf4nFUPb4j8x7UTqvPq+sn6+Wgqp/ESjqrrp/y7Eoe2GoxtQ2N6/qp2aziJkRS7AJ1
+/XSzwDCjjCHz6Ud6Vf20+Nixdf2O08ZPreunX9XvnHp85otr6tfjO3kbynr5MLpz2HRdP13/l8Ni
+UrU3qut37I06p9X10zeoJRzmrLp+wpxVq/rJrdfT6/rpqzksJZ9f108vCxfS2GXq+uln4R5Rj+8M
+b5asHt/5/Fejqt+RMVcqdf3MJMNr1Rk5tq6ffnQO0mEuUNdPv6qfNh87NudJr6of5GOXqOunb7Zr
+rsuRdf30zHZov1wq50mvqt+RdqVmXT9Vu1LLA39yXT+tIeE6XoXj6/rpV/XTyRU9qq6ffvojm117
+fl0//YTYA33sxLp+qusiVPUz8CiarutnJrv2/Lp++ocLint7Tq7rJ92fh1X9tOMtj6vrp08REu+o
+7l0p9ME5I/pNWzhwcoGvl6Tt2h0/p4JK1y74TSeSVSvwnq8vpkhclNHTRuHDAovTLIrbXubw8q6k
+KEBJAkKmMIy2XRU5jPKdcS/26SLDZFuzXHB3e5/HEy/tkDN7RaAmMJ+q4u00Kcbm+PDe2KAjyOb8
+qkxtgcxP3pvO/ia9t+nWK1j9zmy+xkjyJ4iRP/4UVqo95bHSelbHyk9JP1avPvax+nI8wRoLeoq1
+H8JJrFOddbHn7XSMdbHdAut+ZfbYi7/rwt58z27sc3DVwL6+emOs3wrvsO9wD+bxfT+4nhiGIYPM
+9mOdZvb4qsvs+wnX1hm/gQpBawczO53+2ajSqDwmx7nu59vE5rlx9JrXifSi4Gi2y/c307nj6ioZ
+fHTZF0NHNZK8aQx+esSth6vHx+y/bXvfJvL4jZaETXvLlzodB3ZNj8BvzbUqD+HWBWWXbrcwnbRu
+8xdeI5ISkFwVwRSUYurISkUAOva/2Pftl5thHv1enZlG3D3vbdiZw0qFWgErjYf3WLnxuNzav2ID
+mLlq4zJS4UKR3kzi8R0Llvo2mJP4hJHdeB+V+cOCDdqn2E3y7SMr2fftWoreVjQ1if3yeIiJ3Y3N
+ffsYsfmDzi7MuCVgim3Ddk0kSvATafM+XRXYtFvvIlIX2tVtnl87mCn1mxC0PlQL7ybkhXzzGvcU
+8XRxRgVxOKt+Ll23MWhxSmToIQE+tTe4bzLJgk/dX2TWY8H5VQC9G75OLncY5goE0VfIEl3oE/TC
+/dAe8E7FzRbsHDt/vfCrl/uacvnhVz/3tR7hugBcYEcO579JLBitYvnlur7N115ePgEX2CW5YWbj
+bvTA4kCPpDPIZrziO0CDL2b4B0W/+CBUeHu+4x9Ug+IDoHlN8vyDBi6B8gWWzLHAguWMR2j+JYVc
+LvokDySQy9UAwLbPA0TZhxf0YneHPrc/e/CghcObcPzhu2fbHloWzZzYdx8pieC3kg++4wFaNl1A
+3ASywgTgpfUEeLsBlrbZCELPsg8JXvD1GUdvsIsTbL6Hgw/teRi82/GiuqK3dvjcCwAElljwtS4i
+5puH8g0WPvbmJ4LU7U1qsnI+l27JyI9AyXwyZrn3JDVc1avJh+pJnm+e162iUyjFWA6LunXfJ56S
+iXIp56Q7RHXElakE8+vhPCV3Ql7C59iXXJVqNeRe9O0cob1SEQEFlJTQBg1Us/7b7gMIjq/AD89B
+bgsMehg+qFfd4NM7zuq/wcFXKJushsCqDagw/2kUQR2gRQY7p+WHX/1IkIOvvSDvtQ7O3zGun/kX
+LoxnAGRpPcuNZz4KSx582cL9Ut/9Xgt9P/w6uLnMlzHEYcKFRDiRpr/c4+I0VmoUFgl7QlYnMVva
+L5AIZick/mGdgLznDgvuegEB6JAjmt0XhpfxnAt8onD+0ygk2S9DIKxbYIa7n8jBeKK7bsnjzqbj
+Xf/VQ+n7wZGUqjRIL4cKn8gIs5mN6EIqhAqvXlLww6jJ4bu4M3WzDkXIl8JvF0hkD5GvxIYNbzLy
+4c/VUpU6+K1Qr4R+Gy/EW4nuEfbRniwl7e1fxO94Fu1YsH4YJ8co8wkn2r+cBQYFGLtgvTnLS5Gx
+wLLH2VXzRmCPW56jBVgbg9vb0YgbHQnBmKuPHPwh5cXBfCCvrfi8ZLdXgaj8AO90MfhbAFlJMGYn
+gHYEXv4KwGiZSlBDs65gSItGvi6L1OWVjdZcMPj2F/dhq2+e6dc8iB3jvqJjww05m0P8x8UtJ+CW
+WHRQgz6HWkDcTbhvU8SI+9s1BjHGc06eb97fcaQEmCc/v0cv2vasWgWmAaXBIysN8PImBQNuHsGf
+eLdS/J7nacAyR1vEMqU8GW0quZZZlKqVYAWlQnbnSmmIWBiVEQqFw45R+CFELAuJ0PfL8AlWZIvm
+bkPE/h3qMFwBW6Cyjwhxq4jubvQJbQbV0ycpqGgGNPam8KHDEwP2/g1ZqQdn2Vyei1jKvEbgOxFh
+8RQHQVfgzy6GD++qAbxcryex+2arpJpxkI32d1L/mMJrhDTTYiGAhcBWeX3M+zZYLj98eiwRd97o
+fd63zrlLnbv4K9g+34+F74eXYpJMDH0k85X6Sr/VV9nyotv7yFei71guuN1M0a5sb2Sqtt0JnWRe
+hEDucoVS3ytuNMQZYvZNsViYO1cfRCDu3KbpJDPPt/e2afy30qqTFOUMkG+jqylXtxqoZYk9W1Tc
+sf4sKow980BT9VYuRdKzwtzleiIrzRme+80H80R1OQM0ViIWeIFTwCLEjFeMt49QK7zyZvc3thMh
+p2vXxAdRLmfmgJI7RPhXf8KK6cIeg6IxLxI78kDzV1QEr+F1FAGk7ilkpX1Lemdv0w==
+	]]>
+	<![CDATA[
+	fKf7whwNOQT4L15Vg8zWSFXClkF+CRGBwO1Lqb/7xHPp+3lLgXQDlN+lszcHUQT/PI15JtuDK7u5
+MvQhJ3vpB3e9BzI9o352s2Pu6wSGbYlVodHMfZaIaPQ730n/uIjqe+weQHZdWRy527tSQNiLv0gD
+Qrsy+9z3kMevtBq2ASUfie+dMxCp5JdALLUjZieObggxP3XjiasSGpfF+U8RGjdxJJE/kjIPArB+
+BHP1WDb6jBF7avqC2Ghu3NmkSeZz0ACUvBh8XYNHzUYuyOyuC9+PoTbQQ6LZUvK6NSp+3tsr6f0k
+6ysEIkwXaIKe8rEkYAd87IzNbpr4OLtSBvv6GjDX349UuEj0853i18cRmx1eunMSh7kAb+NOeI9F
+9THTBYRmcfhX/gTQwR0rAqisqSfNWZ8zZ7D68lkDZra5NrvbzO41i+Nstm6CqcO9f6wUP16Gsxbf
+xQWKgtrOkGJHTBdxy1NQfdR0LQ61CasR2jlzBrLSzKyh3/JgX51LY6K+dpq2Jtlc0LZ9RdxSiLCR
+x1OgF31EKwR9eI8275srB116JZuvP7qHX53QJ/oJfX2P0OuXtvkT/obNuXm+tvl+Nw3o9QOfQqW2
+xWHz58NZ+Gdk8z30KjY3w/hsPtu3E9qsXYlnEp3o0M2MFxofHJMlP0q/gtM1Hb7r7HLFWemGyXWd
+vd/cusK8lvq+ih1osI3JbR0gJnJNjJ+2LWSEb22fToJbg8ybHWkI4TxjI+RzNg0Z8rFvcp5b/Qa/
+wQBar2bVieDUsfaZBWpxyME+EeObjR1y9AEAP+wfAXTFyFUIifaEzivPUxxNaE8w/+VUjm5elFkc
+h/pTwXnaImtjG9DYGTrj5TWlc4QoOuG9gP6grz0gKXaknWBKfMsgw9U/lsOea4mHdjdS0wydbpy1
+8BzeLQ6TmFeCP2rOXKz18VLF5vlabIRt5jpAOTpuon6Dh+f7smOkmM39+JaEwgNd0lqAguKR/epx
+2m+hBEGHR3UoRmrwQQCKmzb8WoJHUCmbr/uF/TPyRZUBAN3SPMM1b64q2C3YL6cx3KMgI/+YGqvv
+0rluLVMFO7BjI79jjSkSrWYE63+zfBEl22EVM/MuEfPTZTlM8x92xqjKF8DWu9fEoUtEXaibo7HQ
+sO/JpT+mfSLQ+maK48xqYHqlTapQlhPk6/Ekzp9WX8QNpgkZnlmcubFNUBvgMMdsrxOnexQfO4fG
+jtleJ24uTT5mepHNbK5jaey0zQU5jMr2gmdJoUJvqnQPyM4ZJ/HUZ/Mhma/EYjnw57ZQ+H60P6Kv
+STKxD5Wje7xNvhTadWC9Bnd3tXwl+hwg3krdNviKlVI3ayJF2Ecx7DKuP4Wf/wLedjXlBkUOX9RP
+cVE//1Fq1aGf/1xL9TQb+RJatMVxpEZ5khGD/PwXcL/qgz/RB3skxYv+MRWN+mJGDOT8OkcO50NG
+yw6gXMiU+Cd9sIbWm+Afmyc9J/nHnAwsRtFjDRJgmsSRraJmqQD75XRbJV/qzxeeUn5B/egLGaiN
+gy2OPoN+vCVm/12PcyEJvMF2LJ07XcWZ7d1t5IMVUc0uMvzkdzPFFBdI06N2R6kYULuAkhZ30rvi
+NP5Jm1Tkfr1cCGh0+bPtkykYwJXiXKCH1MZVZ9DeaHctz0e+8/zzZUqqoE9swGaqxLpJ4Gke4mMw
+SvifWnhOu9Ba+MBlFl79lOfSC8/rlv/swnvZehb/8MKjZZdE2v8zC8/t/X944dGyg9X/ZxceLbvF
+8Q8vvJ58udzCcxlDABMr+zGR2jzGZDPgct+41zyy1yJcHp8YCFY4yGDnAwhggLBLEpaGZNw1+RUV
+FGPevysNjvejxeai0203w0RNMlD0m23n2gpdNA+6iHjKyO7i7d21bAbIxLn1sxkzqMpMtBJyJV/D
+4vzZoH5H4VowmYc3B10Ugg+imoeXemVcjOXk4kkzry4vG0MSnGWfSS+MrQzOfKksogP+t+4v/9sr
+E5w1Vt7w9e3tNwqBDd91H2uyLcVG9n+gAH6utlN62UJkgc4s3D34yM7nEjw/ShcZxcbCCaFyRkJN
+HE/uxUPxOxoPoGGiB27X3ftCQhZsVCe7BuF4Y87VEwrtcXFXetPDxgPMGrg6KKnkfXCLGOvZ1kGS
+La7EFF7fhX01dQ2uW0Drw6mrSJkdj5T88I2AhC8pEkKTJ35vuN+kKACjkaIAXd3OoiAXFuslASQ4
+bm3fPBIiQREJcDxzEQlsNp0+Cu5S8xWPAo8D2WISJPgFJHBAxQpTciRooADdk4hof28TUQC0vsj2
+/UZAgg4d3KWaO46wC+6agILKZ+Dq55lHAQpdPaADLj6Zu8SKxWMK1yAl9S64Dn5ol2YHonzR7WI7
+d58zBliD2/nrOWdHwNQ0r/4YUMaQ3jTGKZfvLFSOiwE/p42fPI16JGBiDBaHThco9+0kouI6+Mph
+gufqpC5wn3PqEne3/t5mOb9yd8O4cbfZLtQ7SO4853EYGKfuVR2DFkVYHAeYqHt9hl1Ix3DQwTPu
+VywnJyulq0HdPopdhN8XeELaxVfcDFEpxiDNrcZ9k0zwnL2BAvjV8GBxmBZ6/usqzqq+V+VKge8i
+Zve8M+FfSbty4dfNtYNlEQWMxeyOyCPxLW1Zv/IY0pjerMrPLgnXkdGYWcSUvwLqBKJFpBaHkkzL
+k4iSRI7bruVNSkkg3K40PY3KdS54/HaV0VjFX8LOmkYlVsc1aMzsjq/ctUIGY2CyQVzooBLZBZ5v
+pRIZH3w5jIlKbwyDiderOQZeImuNgutigx9HVIoxACjD67j/rB0/9GcC+qiEElmXcQ1jxfO4zvCu
+eiejsWGlkZN+fXrOc/ZZFHexTkJ5Lrci/brw/fBJki+58Fd++DRr5CsxkkS5X2D1k9FqMUnG715T
+N/N+0yvJ9DpMjBG7RXY8b4mXF1zGFzBY2KQw8iPvQumWwl03oFuuUTnlhv08QNs3DiydLBacDaga
+Wjo254l3CfhkNmIIk2XwdH8Vw0SxPVoDRWlafLYvb+AjyL1CuHDjjMEqByinK8jnsb1gwAh/uuHS
+4vmBsFEE8qFUnBJjFy43m1qpYuAqs+2h1Y0yp0SjPjV6u3tF0VDskF+kDoeqM43SiaWThJfe3pC3
+NTCXn1rI/dZKhvPMZwXgc0KgzCnWx+HM9LaK/uDJ+/e6DBqFKxK3eaHVfWA9LfIHycrrHt4hlfeF
+HcNtCaCNyQEKzPiA/vdF4r7UM8GRIXsLFONC7SyOcH72mWZXn2vZynMniXLIn8v+vXhBAFIruRTT
+3pxi0/F42pChpeW/hesC0zbRdSsP61IW903Dj2BV6RhMPstg93MqrAQPzw8h3UVhknAMZqBB0u1G
+0KdQf1GP8w8SkIE145CSh/YrKDci4btnL7qs7R3d9Q046DIPcDJrwXcyQK3uZUJuh60O3k5ncO88
+ngx925LR0PfL7Ane5pIN57sjmEHX38FLvr+wWuq9FPq+fYS78s6dToQI/1ceq6Wv4+Gb71krOCs3
+SLj3U+FCcFgBKtakyl2dArgAn80LM8vtJCBse64MiQGHRoov1K86S7gP7wEizUZciPjYm/Ragtdh
+I6FplQdadxGM2QMHX6hUgicMbzYf8USIpa35u6EUxxVSdwt0SwmXGHBp+MEblF/J78WHFcc8GrSH
+zSl1ZtoelHaKNj13LoZyKTF60Mjgw/dKEyWYoyRS3s33LoSl1aPw3pOAIn9WsUkV7I/VLthsWJS+
+fOAshZAHm00NWvkh9hYsuL58JnQPA00iMdlVLAcDgbcEUP0Ih45BaI17iu9v0J/zxl/loVInXLg5
+AfIffgbIRdp8lQyYd6al4S1nnR3Jci/k2ZFemrXsh/O3zhzQ0ZZVmAMaD7kmVI5N+eSvZvAjJiwD
+1U1ocBgV5oOFPLU8NIDdgF3VSMAAZlC8VXze7OvCH3L7J6RwMyzK4b3i240fObLg2iGejG5fUQCY
+7VERnKTotlDnJtJL++6AJfqTxejdexFs8KuAkF5fQDfnS9lV/MdZyaoP73UNd2AqF3IvvljcgZk+
++yG36ME3SoA0y2Dh3Y596Ds9SgMifU0BRLvg/fzfD5sk4k/h6zR5D3j7HRGcrh2QsvAoXp56SGAC
+TMjwdQrPhvpvPwTgr281uExpmHGbCN/c3kYgqA+OMU3eU5A04aWhzQjYGRi8n39cX96Dd7IJwB7r
+dwBKJw3/1IPTseMOmgiQbCouMd8auuGDkCeR4Tx5FwVcJ+4BOviogNHz+v/p7kq34saV8BP4HXyT
+dEKzuK1dzg40JCSdjSUsCSENdDoM0DAsN5M/8+z3K8mW3XRnIeOZc+6cnHDcZVkqVZVKJan8mbYf
+6d3p6ivpp/mo/KZLKV8IJm7XriAeQAePrTPn8rXpyrvV3VvH790mp5srN1XxqrmfxWeKwff+fGhS
+J2PPrya82ffODx0ix2SOucFuTOdm6H7OJ7S2e5oEvD56rTzIRDx6ezpXIGPIiQowx/7ExN3ixt3J
+CvrGwoe794sbj6cr6BuEaBA1iluLSeXW9uWHx8WNTgX+o9o8wirvDystP3k8VUHfCC2TT36yWAJc
+fHCfnwSt0ypitFcpDb4p2FjjJn6ucRfNVVEgEL04fI18SL1emimCrsvJPNsW1E7iQTi8A3i9nPLt
+812Wv7f+esNXC0808NApvHkraeZYEptLTpNTVTiRzVfJFdwEOn8pkByqOA5uGgk4DsNgrldgWFHt
+cuub8BBDOL3XrHYtrRzWrD24Oz+7dvvex/nP5vnp7OrsYN0hdvC5d5MfCktu7m0cFLgDqyIY2seq
+pnc70xVgDn9UsLuceESOhfftpr/q3njrUDWmw1w57RyYxx7chFHdX3JXOSTKbl95EA5/VHC4lhAO
+CwsADzMhqp+pArkcdnkFfSNAyDjsjr4swpItFfqyC7+6+HTqh7gnd4pg0uGeENCJ/3O7gqPiVkmd
++1W7vHjXIh7J7mYn/dXC+2dT/qrC90VfOFox71e50VvHT4/anxrnq4/vP9qQhKuwkd7Mtbq4o4ZW
+ET8dqkw7hwPb/zxDgyJ1FYhH6wvdXPs07ye5ayJDg2uaHnZN5H/fTbmgOwR8Mw5hDLT5hBDNNnLP
+9+R5s4gOF1tXvpkSYOPVUjoiYHcDzoximOSKH3M9hSPZf+dYpn1CCgOe5xAVtN9W4l1UXRhs7PBo
+7ujGYJE2xD4XJv6yWcW76NxI8v7BcZVrxBwGBB7L4wT5lRGcFVrJUTdeemHSXkpZd4GQgpjpz4eR
+sZmMrWY8bi1fHvXOXp0d9A8G8XR0L2rNLjG2Ntg/WTzr9VZ7f1y0T/Yuj3uDi/hu3JpdmV9asqrd
+2zvZ78Xua5bqowlWOj0cQ1SB34ZCXndWPtf+lD05fHpz+UG3/SndfHg1f+fO6erv9F4Bxii9Y9Cg
+5J13PlFn4un5NP3c9Ec2oWejL147Q5682e6dzV0uTL3orLefL/1n5cpr2dnH1ScPog==
+	]]>
+	<![CDATA[
+	xsL6XG/5ceu8f99lbLa32o8+33vEbDLXPL69Mr+9dNReQMjXdU7+e5AiI7hdJaTIlW/N1YrblZ9J
+3uZTHsfl78HtKlG7SPt/F25XidpVRgH143aVqF0uCqgVt8v9zCGdCtQuj0nz9+B2lahdblfmV3G7
+hieaYYCtK9haPwnZ9dM1jsPqihp1o3WNw+qKGnWjdY3D6vLarxOtaxxWV/51ixrRusZhdWHFXDNa
+17jdBvclqFrRusZhdZWrmbrQusZhdaGVmtG6xmF10VcT60XrGofVVd1hqgetaxxWV/i6xTfQun6Q
+L+Uyx36cL1Vm+VUzpurOlwrfzxnKmKo7XyrHoL2SMVV3vpTLwRnJmKo7X2r0HN5tgNWcL+XyI0Yy
+purOlwor5qGMqeuf6X0/X6rAoB3OmKo7X6p8H6KaMVV3vtT3smPqy5dy+US/VsU18qXyc/iRHJ16
+86V+JXPh+vlSI6PSZUzVnS91zcyFX8yXqp4qlxlTdedLXSNz4S/kSxWZC8MZU9f3MN/Pl/qpXMK/
+nC/lv/z8w3SYv5gv9aNcwnrypcpcwm9KooZ8KUhsTMZU3flShY0NZ0z9gmC+my9V2tj3zPSv5kuR
+xEYzpurOl3I29t1cpTrypb5hYzXnS1Vm5NFcpdrypb6Xr1pfvtQ38lVrzpeqzMg/77iunS81GidT
+xtR186Vy4GK3eeleNqIcjTu0hvjg1pL52/wl9nx5GjP18PVRkm/p+2PGzbN8M/FignxbOPLHarm4
+YhPufZZ8H0aZyfytcYSdS6c+j4YILkvB7eYXDy62wl5/Wl2u3vkc9jefN6s3sotuuDHlbkSN/FZn
+ai/cmqk+88H0wo3W8KK4H26wyg14X9oTfTlZ0sjDrE3shg346WrxvtwPNxK3NiJvkvqNCTgFst+X
+LN/Gf7RMP99U6qbxQpJ9M53vwZLp5sDVdP7hF+GwRjKgNy13gEJmQWJ7k1cLO3DVigrc/9Ods0Z+
+9DgjycZWZ6oS481Hj++F/fvluaOkPzn7eu9T58qmenhV6QowdWP4W3pzvPl08X5ZX9SoocY3nQfl
+CYO6eH2r92R7y/ZnVy5vHSxs7b9OnSWvstJ+qwfKmx9E2FuUlb3F9iMTTGXdb2rw9pt58ibrrcLs
+KUdud5uWmeus3FNadxv/J3QlwpX0VSzcO9b4uZXXuLPnFL8VDui30nBVsTb+kX84KEfllqjeah/e
+KjYOf1PhwFFXM0GOVhoL01kTAzt9e2uqunXix/5yODTzf4q+VL+fs13ZKxK3m69oN2sbfzbXac9h
+m4UrXi33oi+JJsdw8wY29vHt5nx7yjYXFxdevK2kDJYb5PnrgF4mz45zp7C40/Qjp3vjmTvvnSqK
+OEDe6YS6Qdy8P/fInZWkBfw0Z84h+Z27ydkHp6VDQo0rMj+9uDvxe7Hr93jGvYtJS5ctDLi3Z8Vu
+q7lffG0MS5J3Yz/shoXG+ysC9pmPcGGtMd5ryjuuguHt3EM+nM+/OzFBSQSH/jRxyHGlYbNt/0pq
+xFDGqWVednBWdIzUf+Wdld+360uX6+GclPNFCHnyXcEnr1J/xFE5V/GpES5D9PzPh9G9qEGHiTsL
+g/3qQWLUaICy0ru4PKUCameu1z8YdLpfe2cRi/2/FP/or8lixm3MlcIPRdTObjSxsNsb9GLWjDuD
+qLHTmj27aB/sXRycDLpnX+O7RNp40Vlbasd3Y192B2XvxRPgJt1Badxq0unlDjjcidJ4Fv83vvij
+T+IUdaRxiw5A6SAUJd2v7nFvabDf+8P/Xrk4Ofta/OZxi56bHVwcdI8OuucHg747TI3S0JuNr/jx
+DBe/gfAlZmn8In63ncb71PZy5IrsRzqeaMYb69dghf08K0MtpDlfjjOVssTw1MSapUnKsvg4shlL
+uMlEIHVKkkh5klklQQtPjqOVjw7+JU2k8SsvuURAcoe5FLmXojZZIlOBxrhORCYYGDAqTbQQNtaC
+JYrz2HKRKGZ0rFOZWCNUbI1MhEp1rJRMtGU8no+sZWgTjStpEssxBKxNEyY5SgkFijZ4TiSMZSxW
+PEuUlJKe0zrRynLQWJIJxWKrRGJk6ik2ZSK2qDLFlXuOM+6eS8GVlbEyaNdkOjaSJ8waFasMz6NU
+DM4SmxGblh7TAo9Rp2xqiaaSLGPg14qEW4XmtEwkZ6hS6iSTQrrmrIYo5iMlUSnjGWg6URqsCHTB
+WOJJJFYxokA8PKdopgw9xqEdzugxnnBOnWLoJqMLFNdag1+Gdm2qXLsiNYyeYyrhKfShoA2ZkVgZ
+xGohRAXxZGAFVaZJpqlupROjXPfwF7oSKJUZPGcVNEvqNDAKcKBQelTn89HieKdk4tbS4CKeWHn7
+ZGflc/e0t/r1tDfkh/7ZEfkDWzaQuhROj0pCnrBlQTYhoW0DMRobG2sTKzPt5Cp0CivlEJQWmVOM
+0Ja0ZgWkCOcNvRgySNRiJPQiYVk8RXWw5iSVeEhaWGaakegty6DqzMQSAlZcZrFNiSMuQLEYJhlR
+oAyDNiSsTxone2NgiIoMIs2IN+YsGRWQ/alEcAa2UZN11s7pAjXBkrVKjCGzgUkLeo40bDT1FtYj
+bIriGSiZylx7bkzARHBpLYyauJKMBgyGZZoa6zjnKAoRwqJoTLj+MW+SGooACyQEITX4VdRPaIsE
+lXGMSgWWMgxmJ0vNDY1TlSmYuYV8JbGJ4aHJHQhqJE0wTcp4VHP/Eot0QlfQB3yV5sJZJIYj0xwD
+GyqFCanYKJTS8EgkWGrKQAuGQ41SW7gKReIwVic8gz40hxKgBWgngeJhW2TIGM2GbIwZFJES7DHj
+TIuTqmBuQidkrgYqM/AGIEBTHCI3Ch2UMBUJB5dyS5Zs4NokHBHq1nCgYIC4hvmAAg1rBW9iIA2h
+cEuSqTBGqibPInDDMQ6RYgpRJmEcvlGSrWQwKA0rUM7WwCaTUjmfbLyJgEV4UIxO8tIZSKAYGsDM
+OStGsxJRcp+MsQUXLNBlgVHNLNqDoJnWjvGMoV1XJMVYhBTQc8XyKcCzDmfAGfw8TBa80ESB8Wlp
+4Iyq7v/GJP+BJlg8e4p++RiQ+vc8MvEX1AnjzOBTmbQwBOFaDiR4YkZzufMoMEH8T1IBk6A4AWZY
+Emj64+SIShIxojxPgUbKylIMilB3heIZQE0FSYMTGJGrKZBgNpZCj6JuA21nmjxvzkAgUIDj2Qwk
+CLHoS6CF/oa6KxTPwPyonDrReXQZTV5SYH864lMo+Jbxi0hz68MZQSGP1aRZTyOvnJKvwMSgaaSp
+1LrB5EaHRigg6DlHsRgVexjiiC+YqZTC4EBwR4O3qMlTsJ4J7eG5ohRcDjlvlCpqIqNRGbNle4Gy
+FwWuylIF56Gm0f7RmGNxOyKn4Q2z0nOiIc6MhUxp+oPToEhSMjzPaHKFGyg5IQqH20IP0AoWaFlZ
+yrlAC+WFmgxCrFRe6XlRSmJEWLiNONSEGJemd1WRNHcRmet5wVVZquA81DTaP+r55Jo3Ceo/fLtG
+iODvC9f9goQ2swyOTVOIoyn+QCACLefsGGgyUKj7EhOJKEkGQWeaIVgNFREFAaoKreGpUIi8tiH/
+n9cjEHMIjYkkNBYo6HvBUlkqsF1UNNK3oHQFD4XAvNppImmIUEjMfhmtUQyF+KRz8JMhlKryAQpm
+P9dpjjkDgzGUMpjtJI34UBNRlEBgX+11UShDzG+cyvOKEDchEkx5VcbGeQzqdc5TWSjwXVQ00rlh
+hStM3IYb6ih5CI2+lyREa5ihhVvnYLkBHWReF5pBlHgiEMALBQPOSvIiLsKSWVmLo7iRmTdFJlsU
+wkImpfk0VIPRi5nUVpoqKHtRyU9BK3l2FRkdj3Ss0LZCFMwzarPSY+UiG1KaFLSORCfge4gNhTUf
+ubaSDVA4pnLqMvcLs7KUZn49F2pyFD/ky04XhShoYiSZUBHmShe1VwTMfDxEvS6YKkoFvouKRvqW
+K5s0jfkz4S5COIThwLki2IgFxSOa0WqcZo0sc8MDAYxgNO0g3ILNMFal0XyDZSkE6/TJDZWjlakm
+hYyjwQIx/oR7FlGhpY6WNGrDZk5RqZcilUPkj/i+pB2N4bkTfYqwsMqHJVbuWiqKfGlFakm+BQkV
+FhoStI9AThKzc0ZLJPIZ42gIfzm5Tv8sIrUhmoIQBDlURKFgxrhimQ/tKiRGYapxHCMupU6MsEud
+WIuyfOeJtr7Qe4xd/wrCcf5jBsKiDk+8bMaTUWfObw4uDPbd1uDMTNRovO72e6tn3YOj3lnUP+/+
+txd3B4OTi+5F7xR34v5Z7/zi5KwXn38++UIUPFIUbzQWXi1G/wOpTEGn
+	]]>
+</i:pgf>
+</svg>

BIN
Vorlagen/Flyer/2015-07-23-flyer_freifunk-do.doc


BIN
Vorlagen/Flyer/2015-07-23-flyer_freifunk-do.odt


BIN
Vorlagen/Flyer/2015-07-23-flyer_freifunk-do.pdf